半导体量检测行业白皮书苏州智能制造研究院
作为面向产业的新型研发机构,苏州智能制造研究院始终秉持“技术中立、数据为基、服务产业”的研究原则。此次推出 2025 年度行业白皮书系列,旨在为行业提供战略决策参考,相关结论不代表任何企业或组织立场。白皮书著作权归本院所有,禁止未经授权的商业转化及跨境数据流动。白皮书内容搜集整理自公开信息,如有侵权,请与我们取得联系。免责声明文中提及企业
01091017 TABLE OFCONTENTS1.行业概述2.关键技术2.1 光学检测2.2 X射线检测2.3 电子显微镜检测2.4 自动光学检测2.5 深度学习和人工智能在检测中的应用2.6 无损检测2.7 其他创新检测技术3.半导体行业发展现状与趋势3.1半导体行业趋势3.2 全球行业最新发展趋势3.3 中国半导体行业趋势3.4 关键驱动因素与挑战3.5 未来技术演进路线4.重点企业介绍5.产业政策与标准5.1 中国地区产业政策5.2 国际标准与贸易政策参考文献4666778891010111112131416161617-0109-
4定义及重要性1 行业概述半导体 “量检测” 一般指半导体制造过程中的测量与检测(Metrology & Inspection),涵盖对晶圆和芯片进行尺寸测量、缺陷检测、测试分析等环节。这类设备通过精密仪器和软件,在晶圆制造从光刻到封装的各阶段对关键参数和缺陷进行检测,把控产品良率。由于半导体元件的微缩和复杂化,量测与检测成为保障产品性能和良率的核心环节,被视为芯片制造的“质量保障”命脉。这些设备广泛应用于硅晶圆制造线的各步骤,以及封装测试、印刷电路板检测等领域,确保从晶圆到成品的每一阶段满足严格的技术规范。例如,在晶圆制造中,自动检测系统可识别光刻中的缺陷、量测线宽和膜厚,在封装中 AOI 和 X 射线检测设备可发现封装内部的焊点空洞等缺陷。市场规模及发展随着半导体行业的持续增长,对检测设备的需求也稳步上升。据统计,2021 年全球半导体量测与检测设备市场规模约为 73 亿美元,预计 2031 年将增长至 133 亿美元,年复合增长率约 6.2%。另一份研究显示 2022 年该市场约为 75.5 亿美元,到 2028 年将达到 111 亿美元。这一市场增长的驱动力包括电子产品需求上升、晶圆产能扩张以及先进封装的普及。地理上,亚太地区占据最大市场份额,2021 年约占全球半导体 “量检测” 存在诸多难点,主要体现在检测精度要求高、检测速度与效率的平衡、缺陷检测难度大等方面。这些技术难点直接推高了行业准入门槛,促使企业加大研发投入,同时也推动了检测设备向智能化、自动化方向发展。高效精准的检测技术已成为提升产品良率、降低生产成本的关键,正在重塑半导体行业的市场竞争格局。全球 / 中国大陆半导体设备市场规模 ( 亿美元 )数据来源:中科飞测 , 2023 年12001000800600400200035%30%25%20%15%10%5%0%2016 2017 2018 2019 2020 2021 2022全球市场规模(亿美元)大陆市场规模占比大陆市场规模(亿美元)苏州智能制造研究院
一半以上,主要因为中国大陆、台湾、韩国等地集中了大量晶圆厂。值得注意的是,量测与检测设备虽然占半导体设备市场比例不高(约占 13%)。但其增长速度往往超过整体半导体设备市场,因为良率提升对于提高产能具有直接作用。应用领域半导体量检测设备贯穿集成电路制造全流程。在前道晶圆制造中,包括光刻掩模检测、晶圆缺陷检测、关键尺寸量测(CD Metrology)、薄膜厚度测量、叠对精度测量等。例如,光刻后需要用检测设备检查晶圆上是否有颗粒污染或图形缺陷,以及测量光刻图形的尺寸是否达标。在晶圆制造完成后,切割成芯片之前,还会使用电子显微镜复查缺陷并分类,以指导工艺改进。进入封装测试阶段,自动光学检测(AOI)用于检查引线键合和外观瑕疵,X 射线和超声波检测用于检测封装内部焊点、空洞和裂纹等隐蔽缺陷,实现无损检测。此外,在印制电路板 (PCB) 制造和显示面板等领域,AOI 也被广泛应用于检测焊点、线路缺陷等。总之,从晶圆加工到成品电路板,量测与检测设备是保障质量和可靠性的 “眼睛” 和“尺子”。历史演进与趋势半导体检测技术经历了从人工到自动、从光学到多元化的演进。1970 年代以前,晶圆和光罩的检验主要靠人工显微镜检查,效率低下且漏检率高。1978 年,美国KLA 公司推出了全球首台自动光罩缺陷检测系统,将光罩缺陷检查时间从 8 小时缩短到 15 分钟。1980 年代初,KLA 进一步拓展产品线,开发了用于晶圆图形缺陷检测的自动光学系统,以及用于关键尺寸测量的光学测量工具,实现了晶圆在线检测与量测。与此同时,Tencor 公司在 1984 年推出 Surfscan 激光扫描晶圆检测仪,大幅提升硅片表面微粒检测能力,成为当时业界标准。1990 年代,随着工艺微缩,KLA 和 Tencor 等公司又引入了电子束检测和扫描电子显微镜(SEM)量测技术,并开发软件将多种检测数据融合分析,开创了全面良率管理的概念。1997 年 KLA 与 Tencor 合并,形成了在缺陷检测和计量领域全球领先的供应商,彼时合并营收已达15 亿美元,员工超过 5300 人。进入 21 世纪,检测技术进一步发展:光学检测向更高分辨率推进,并结合深度学习算法降低误判;电子束检测进入多电子束并行阶段以提升速度;针对 EUV 光刻的特殊挑战,出现了EUV 波长下的掩模检测和缺陷修复技术。先进封装兴起则催生了高速高分辨的 X 射线三维 CT 检测等无损检测手段。可以预见,未来半导体量测与检测将沿着更高精度、更高速和更多智能化的方向持续演进,以应对摩尔定律延续和异构集成时代对良率控制的严苛要求。5苏州智能制造研究院
2.1 光学检测 (Optical Inspection)光学检测是半导体制造中应用最广泛的检测技术,利用可见光或深紫外光照射待测物,通过光学成像来发现缺陷或测量尺寸。根据检测对象不同,可分为晶圆缺陷检测和光罩(掩模)缺陷检测两大类。晶圆光学检测通常采用高分辨率光学扫描仪,对晶圆表面进行逐点扫描,比对同片晶圆或良品样本,检测出颗粒、划痕、图形异常等缺陷。这类系统又细分为针对此前道工艺未曝光区域的全局检测(如检测颗粒污染)、以及针对已曝光图形的瑕疵检测。典型的光学检测仪包括明场和暗场两种成像模式:明场系统利用直接反射成像,适合发现较大颗粒和图形缺陷;暗场系统检测散射光信号,能够提高对微小散射缺陷的灵敏度。光罩光学检测则是对光刻用的掩模版进行缺陷扫描,因掩模上的微米级缺陷放大后会影响晶圆成品,所以需在光罩制造和使用前进行严格检查。KLA 公司在 1978 年即推出首款自动光罩检测设备,开创了光学掩模检测的先河。目前,光罩检测尤其是EUV 光罩检测非常关键,日本 Lasertec 公司在 2022年推出了新一代 EUV 光罩检测系统,可在无保护膜(无载罩)情况下检测 3nm 及更先进工艺的 EUV 光罩缺陷。光学检测的优势在于检测速度快、覆盖面积大,适合在线大批量检查。一台先进的晶圆光学检测仪每小时可扫描几十片晶圆,是生产线上不可或缺的良率控制手段。其不足之处在于受光学衍射极限限制,分辨能力在深紫外 (DUV) 波段约几十纳米,当电路特征尺寸进入EUV 时代,光学方法对极小的缺陷可能力不从心。因此当前很多光学检测仪配合算法优化(如瑕疵检测的图像增强、缺陷分类),并结合其他手段弥补极限。在实际应用中,光学检测常用于制程监控(如关键层光刻后的缺陷筛查)和产出评估(如通过缺陷密度判断工艺稳定)。由于光学检测覆盖了绝大部分缺陷检测需求,是目前市场份额最高的检测技术类别。2021 年全球量测检测设备中,基于光学技术的设备营收占比最高,表明光学检测 仍 是 行 业 主 流。主 要 供 应 商 包 括 KLA、应 用 材 料(AMAT)、东京电子 (TEL) 等,其中 KLA 在图形晶圆缺陷扫描领域市占率长期领先。在国内,多家企业正在开发晶圆光学检测设备,例如中科飞测已推出非图形化及图形化晶圆缺陷检测设备。2.2 X 射线检测 (X-ray Inspection)X 射线检测利用高能 X 射线对器件进行穿透成像,能够在不破坏器件的情况下观察其内部结构,被归类为一种非破坏性检测技术。X 射线在半导体领域的应用,传统上多用于封装和组装环节。例如在 BGA 球栅阵列封装或倒装芯片中,通过 X 光成像可以检测封装内部焊点是否对准、是否存在空洞(void)或裂纹等。随着先进封装(如 Chiplet、3D 封装)的兴起,多个裸片以异质方式集成在一个封装内,内部互连复杂且不可见,这使得X 射线检测的重要性大幅提升。光学检测无法 “看穿” 封装内部,无法判断芯片堆叠和焊接情况是否良好,而 X射线因为具备穿透能力,可以在封装组装完成后对内部进行成像检查,发现光学方法无法察觉的问题。例如,在多芯片叠加的封装中,X 射线可验证焊球是否对准,芯片是否移位,异质结合是否存在气泡或裂隙。近年来,X 射线检测技术在半导体领域取得了显著改进。一方面,扫描速度和分辨率提升,使其从过去主要用于抽检分析的“离线”工具,变成部分产线可接受的在线监测手段。另一方面,更高效的 X 射线源和成像算法降低了对器件的辐射损伤,使其在量产环境中更安全可行。X 射线还结合计算机断层成像 (CT) 发展出三维成像能力,可以全方位无死角地检查封装内结构。对于材料密度差异明显的缺陷(如空洞、异物),X 光的检测效果尤为突出。Nordson 测试与检测部门的专家指出,X射线在检测线圈封装中断线、焊点空洞等方面非常有效,在检测线圈封装中断线、焊点空洞等方面非常有效,可以显著提高封装可靠性。此外,X 射线提供的灰度图像还可用于量测分析,例如计算焊点的孔隙率、测量结合面的对准情况,从而对工艺进行监控和改进。在工艺制程中,虽然 X 射线主要在后道封装发挥作用,但也有一些前道应用。例如,通过同步辐射 X 射线显微镜半导体量检测领域涵盖多种技术手段,包括光学、射线、电子束、声学等不同原理,以及近年来兴起的人工智能算法的融合应用。以下对主要技术方向及其应用进行梳理。2 关键技术苏州智能制造研究院6
(XRM) 可以对晶圆进行材料分析和应力测试,也有研究使用 X 射线透视观察晶圆键合(如硅通孔 TSV 对准)等。不过这些多属于实验室或小规模场合。目前,X 射线检测在半导体制造中的市场份额相对有限,但增长迅速,因为高级封装和可靠性要求的提高正在推动其从 “辅助” 走向 “必需” 。主要设备供应商包括德国的 Comet Yxlon、美国的 Nordson、美国的 Bruker 以及日本的松下等。其中一些公司还将 AI 算法融入 X 射线图像分析,以自动识别缺陷,提高检测效率。对国内厂商而言,X 射线源和高分辨探测器是关键技术,目前一些企业和研究所在开发国产化的半导体 X 射线 CT 设备,以满足先进封装领域快速增长的检测需求。2.3 电 子 显 微 镜 检 测 (Electron Microscopy Inspection)电子显微技术在半导体量测与检测中占据重要地位,包括扫描电子显微镜 (SEM) 和透射电子显微镜(TEM) 两大类。扫描电子显微镜 (SEM)通过聚焦电子束扫描样品表面,从二次电子信号成像,可获得纳米级分辨率的表面形貌图像。SEM 在半导体中的主要应用有两方面:一是关键尺寸量测 (CD-SEM),利用 SEM图像测量芯片上线宽、间距等关键尺寸,是光刻工艺控制的重要环节;二是缺陷复查 (Defect Review),将前段检测发现的缺陷定位,用 SEM 观察缺陷形貌以判定性质(如颗粒、刻蚀残留、塌陷等)。CD-SEM 自 1980 年代引入以来,一直是亚微米级别尺寸计量的工作关键,尤其在极紫外光刻前,SEM 量测几乎是唯一能精确测定几十纳米结构尺寸的手段。日本日立高科 (Hitachi High-Tech) 是该领域的领导厂商,其 CD-SEM 设备在全球市场占有率多年来保持第一。据报道,日立在晶圆关键尺寸检验(CD 检验)市场份额一度达到约 85%。SEM 缺陷复查则是 KLA、应用材料等公司的检测方案中的重要一环:先用光学快速扫描标记怀疑缺陷,再用场发射 SEM 高倍率成像确认缺陷类型,从而过滤假缺陷并分类真缺陷。随着节点推进,电子束检测还出现了直写电子束检查 (E-beam Inspection),即直接用电子束 大 面 积 扫 描 晶 圆 寻 找 微 小 缺 陷。比 如 Hermes Microvision(HMI,后被 ASML 收购 ) 推出的电子束检查工具在 7nm 及以下节点用于捕捉光学无法检测的缺陷。然而电子束逐点扫描速度较慢,目前更多用于抽样检查关键层。透射电子显微镜 (TEM)则通过加速电子透过超薄样品成像,分辨率可达亚纳米级,能直接观察半导体器件的晶格缺陷、界面、三维结构等。TEM 在半导体行业主要用于失效分析和工艺研发。当工艺遇到疑难问题时,工程师常切割出一个微小截面,用离子束 (FIB) 制备成厚度几十纳米的薄膜,然后用 TEM 观察横截面结构,比如检查多层互连的形貌、EUV 光刻胶中是否存在孔洞、晶体管沟道是否有晶体缺陷等。TEM 因为制样复杂、费用高昂,一般不用于批量生产线,而是在研发和失效分析实验室使用。近年来,随着节点缩小,TEM 几乎成为了解 7nm 以下器件结构的必要手段,推动行业领军者如 Thermo Fisher(2016 年收购 FEI 公司)大力拓展半导体 TEM 市场。Thermo Fisher 公司已成为高端电子显微解决方案主要供应商,其 TEM 和聚焦离子束联用系统 (FIB-SEM Dual Beam) 被全球各大晶圆厂用于EUV 良率提升和工艺验证。电子显微镜检测技术的优势在于分辨率极高,可以看到光学难以分辨的纳米级甚至原子级细节。在 5nm以下技术节点,许多随机缺陷(例如 EUV 光刻随机缺陷)只能通过 SEM/TEM 观察分析。劣势是覆盖面积小、速度慢,不适合大面积筛查。因此,当前常见策略是“光学+ 电子束” 结合:光学负责快速全局扫描,电子显微镜负责精细局部确认。展望未来,多电子束并行扫描技术有望提高电子束检测速度,使其在某些关键环节承担更大职责。另外,电子显微技术正与自动化和 AI 结合,例如自动缺陷分类算法读取 SEM 图像进行智能判定。随着半导体结构向三维化发展(如 3D NAND、GAA 晶体管),传统光学手段受限更多,需要借助电子显微镜进行三维结构计量和检测,这也推动这一领域技术持续创新。2.4 自动光学检测 (AOI, Automated Optical Inspection)自动光学检测(AOI)是一种利用机器视觉技术对电子产品外观和装配质量进行自动化检查的技术。在半导体领域,AOI 最初大量应用于 PCB 组装检测和 LED 封装等领域,如检测焊点是否缺陷、元件引脚是否对齐等。AOI 系统通常通过高分辨率相机获取待测对象的图像,借助图像处理算法自动识别各种缺陷,实现对人工目检的替代。在半导体芯片制造过程中,AOI 也扮演着“第一道防线”的角色,用于尽早发现制造流程中的可见瑕疵。例如,在晶圆前道工序,AOI 可用于宏观缺陷检查(Macro Inspection),检测整片晶圆表面的划痕、污染斑点等较大异常。在封装环节,AOI 常用于检查芯片引线键合后是否有虚焊、金线弧度是否正常,封装外观有无气泡、溢胶等。一些先进 AOI 设备结合 3D 成像,可检测器件的共面度、焊球高度等三维特征。传统 AOI 主要基于预设阈值和模板匹配,有时会出现误判率(误报 /漏报)偏高的问题。当产品外观或图案有正常变化时,可能被 AOI 误识为缺陷;而过于依赖事先设定的模板,也可能漏掉未预见的新型缺陷。为此,近年来 AOI 开始引入深度学习等 AI 技术,动态学习产品外观特征,提升对微小瑕疵的敏感度并降低误报。AI 赋能的 AOI 可以根苏州智能制造研究院7
据历史缺陷库训练模型,自动适应工艺波动,更准确地区分“良品”和“缺陷”。例如,一些公司推出的AI-AOI系统可以检测出人眼难以察觉的细微划痕,并能根据不断积累的数据调整判定标准,将传统AOI漏检率降低一个数量级。在设备结构上,AOI分为2D AOI和3D AOI。2D AOI通过多个角度光源和高分辨相机进行平面成像,适合检测表面瑕疵如划伤、污染、印记等。3D AOI则增加了结构光或激光传感器,获取被测对象的高度和体积信息,可识别焊点塌陷、器件立起等三维缺陷。另外还有在线AOI(Inline AOI)直接集成在生产线,实现100%在线检测;离线AOI则是在独立工站抽检。对于半导体高产能生产线,常在关键节点配置高速在线AOI监控,同时辅以离线AOI做深入分析。目前 AOI 在半导体封装、测试板制造中极为常见,也是大陆厂商进入检测领域较早的方向之一。国际上知名 AOI 厂商有以色列 Camtek(专注半导体晶圆及封装检测)、韩国 Koh Young(PCB 和封装 SPI/AOI)、美国Nordson YESTECH 等。中国厂商中,精测电子最初即从平板显示 AOI 起家,现拓展到半导体 AOI;长川科技则通过并购新加坡 STI 公司进入封装 AOI 领域。AOI 设备的相对技术门槛低于前述光学 / 电子束检测,因此国产替代进展较快。但要达到高端晶圆制造的 AOI 要求(如亚像素级缺陷识别、低误报率),仍需在高分辨成像、运动控制和算法上持续创新。总体来看,AOI 以其实用、高效的特点,已成为保障电子制造质量不可或缺的工具,未来与 AI 的深度融合将进一步发挥其价值。2.5 深度学习和人工智能在检测中的应用随着半导体制造进入纳米尺度和高度复杂化时代,传统以人为设定规则的检测方法面临挑战:要么误报太多,要么漏检未知缺陷。深度学习和人工智能 (AI) 技术的引入,为半导体检测提供了全新思路。通过训练神经网络模型,机器可以从海量历史数据中学会区分 “良品”与“缺陷”的细微差别,捕捉人类专家难以定义的复杂模式。近年来,行业领先的检测设备厂商纷纷在产品中嵌入 AI 功能。例如,KLA 推出了基于深度学习的缺陷分类系统,可以自动将晶圆缺陷按成因归类,大幅减少工程师手动分类的工作量,并提高分类一致性。Onto Innovation 公司开发了“Ai Diffract”等软件,将 AI 用于光学量测中的模型拟合,提升了测量精度。在缺陷检测方面,研究者利用卷积神经网络 (CNN) 分析 SEM 图像来定位缺陷。据综述报道,CNN 在晶圆 SEM 缺陷检测中表现出色,能在噪声较大的图像中准确识别并定位缺陷。对于晶圆表面划痕等宏观缺陷,一些算法使用目标检测模型(如 YOLO)实时发现缺陷位置。深度学习在半导体检测中的应用场景主要包括:缺陷检测与分类、异常检测和过程控制。在缺陷检测中,AI 模型可以学习缺陷的多维特征,实现比传统阈值法更高的检出率和更低的误报率。比如 AI 可判别晶圆图像中的随机噪点和真实缺陷,从而减少因噪点引起的误警报。在缺陷分类上,AI 代替人工去识别缺陷类型(刮伤、颗粒、凹陷等),据报道某些自动分类的准确率已达 90% 以上,这对于及时分析缺陷来源、反馈工艺调整非常有价值。异常检测方面,AI 可以建立设备或产品的 “正常模式” 模型,一旦检测数据偏离模型就提示异常,有点类似于工业领域的预测性维护思想应用在良率管理上。过程控制优化方面,AI 可以将不同检测站的数据关联,寻找潜在相关性。例如通过分析光学检测与电测试结果的关联,AI 模型可能发现某类光学微缺陷最终导致电性失效的概率,从而指导工艺更早筛除隐患。应用AI也带来新的挑战,包括对大数据的需求、模型的可解释性和工厂IT基础设施的整合等。半导体制造产生的数据量极其庞大,各检测设备每天可产生数TB的图像和测量数据。如何高效地收集、存储、标注这些数据并用于训练,是AI应用的基础工作。此外,晶圆厂对误报漏报有严格要求,AI模型需经过充分验证,且其决策机制最好能给出一定解释(例如突出导致判为缺陷的区域),以便工程师信任采用。不过总体而言,深度学习在半导体检测中的趋势不可逆转。专家指出:“检验和计量整体变得越来越重要”,AI则是提升检验计量效能的关键手段之一。可以预见未来的工厂中,AI将广泛嵌入从光学、电子束到X射线各类检测设备中,实现自适应调参、智能决策和异常预警,帮助晶圆厂在良率爬坡和产能提升上更快更稳。2.6 无 损 检 测 (Non-Destructive Testing, NDT)无损检测指在不破坏器件的前提下,检测其内部或性能的方法。在半导体领域,由于芯片制造过程复杂且成品昂贵,无损检测尤为重要。前文提到的X射线检测即是一种典型的无损检测手段,通过射线穿透成像来检查内部结构。此外,还有超声波扫描(声学显微镜)和红外热成像等技术也属于无损检测。超声波扫描(Scanning Acoustic Microscopy, SAM)通过向器件发射高频超声波,并接收反射回波来构建图像。不同材料界面会反射超声波,因此可以检测芯片封装内部的分层、气泡和裂纹。例如BGA封装中,如果芯片与基板之间有空隙或分层,超声扫描会显示异常的回波信号,从而定位问题区域。这种技术在封装可靠性检测中应用广泛,尤其是高可靠要求的汽车电子器件出厂前,常需苏州智能制造研究院8
做超声扫描以确保没有内部缺陷。红外成像利用某些缺陷(如短路)在通电时会产生异常高热,通过红外相机捕捉温度分布,可定位潜在的电气缺陷。这种方法可在不接触电路的情况下发现芯片内部热点,属于失效分析和在线测试的辅助手段。无损检测技术在半导体制造中主要用于两方面:一是品质检查,在产品出货前发现潜在隐患;二是失效诊断,在产品失效后定位故障原因。例如,一批封装芯片在高温贮存试验后出现失效,可以用 X 光检查焊点是否有裂纹,用超声波看封装是否分层,以确定失效模式。相比需要截断器件的截面分析,NDT 方法保存了器件完整性,可以在多样品上快速筛选。随着先进封装(如 2.5D、3D 封装)的结构日益复杂,NDT 的重要性进一步提高,因为传统截面分析往往难以及时或全面地检查此类封装的内部结构。技术标准和发展:无损检测需要结合半导体器件特点不断发展。例如,高分辨 X 射线需要更高亮度的小焦点射线源以及高灵敏度探测器,目前有厂商开发基于同步加速器原理的台式高亮 X 光源来提高分辨率。声学显微技术则朝着更高频率(提高分辨率)和多模式成像(比如结合超声和红外)方向发展。总的来说,无损检测技术丰富了半导体质量控制的手段,与破坏性分析形成互补。对于某些特定缺陷类型(如内部气泡),NDT 几乎是唯一可行的发现方法。在未来,更高精度、更高速的NDT 将为提高封装和产品可靠性发挥更大的作用,也是在芯片广泛应用于汽车、医疗等安全关键领域时不可或缺的质量保证。2.7 其他创新检测技术除了上述主要技术,半导体量测与检测领域还在不断涌现新的方法和工具,以应对未来工艺和封装的挑战。散射计量(OCD, Optical Scatterometry):这是一种利用光衍射散射信息进行测量的技术。原理是将光照射到晶圆上特定的周期结构(如标尺或栅线阵列),测量反射光的光谱或衍射图样,通过匹配理论模型来反算结构参数(如线宽、线高、侧壁角度等)。散射计量也称“OCD(Optical CD)”,因其可以在不直接成像的情况下测量关键尺寸和形貌参数,速度快且不损伤样品。以色列 Nova 公司是此领域专家,提供的 OCD 量测系统被广泛用于过程控制。KLA 等公司也有类似产品。散射计量如今已成为 45nm 以下节点多层结构(如 FinFET 的鳍高度、复杂膜栈厚度)测量的标准方案之一。其优势在于一次光谱扫描即可获取多参数信息,缺点是需要精确的光学模型和充分的参考校准。原子力显微镜 (AFM):AFM 利用微米尺度探针在样品表面扫描,通过探针与表面的相互作用测量表面形貌,高度分辨率可达亚纳米级。AFM 在半导体中主要用于台阶高度测量、表面粗糙度分析等。例如用于检测抛光后晶圆的平坦度或刻蚀后侧壁粗糙度。虽然 AFM 成像慢且覆盖面积小,但其高度精度无可比拟,因此一些关键结构(如 EUV 光刻胶厚度、纳米线器件尺寸)需要AFM 校准。业界也在研发高速 AFM 和阵列探针 AFM,以期将其应用于更大面积的计量。EUV 掩模衍射检测:随着 EUV 光刻的量产,EUV掩模版上的缺陷检测成为难点。由于 EUV 波长极短(13.5nm),传统 193nm 光学检测无法准确发现 EUV掩 模 上 会 影 响 成 像 的 缺 陷。为 此,出 现 了 “真 光(Actinic)”检测,即用与实际曝光相同的 EUV 光源来检查掩模。荷兰 ASML 和蔡司正在开发 EUV 掩模检测工具,而 Lasertec 已推出针对 EUV 掩模的检查设备系列。这类设备技术难度极高,需要 EUV 光源、真空环境和高NA 光学系统,其进展直接关系到未来 EUV 工艺良率。多电子束并行检测:为克服电子束检测速度慢的问题,一些公司开发了多束平行扫描技术。比如美国KLA 推出了多达 1000 束电子束同时扫描的原型机,用于大面积缺陷检测。这种创新若成熟,将大幅提升亚10nm 缺陷的检测效率。计算光学与高速成像:利用计算摄影学思想,应用于半导体检测。例如通过相移叠加获取超分辨信息,或用压缩感知技术减少采样量,从而更快地获取高分辨影像。此外,高速相机在检测应用中也逐步涌现,如每秒上千帧的相机可以用于捕捉激光加工过程中的瞬态缺陷产生,从而帮助工艺调试。总之,半导体检测技术的创新与半导体工艺发展相辅相成。当新的工艺结构(如 3D NAND、GAA 晶体管)出现、或者制程进入全新物理尺度(如 EUV 时代的随机缺陷),检测技术也必须随之革新。在未来,可以想见量测与检测会朝着综合多种物理原理、融合多模态数据以及高度智能化的方向迈进,以满足半导体工业对质量与良率永无止境的追求。苏州智能制造研究院9
本章分析全球及中国半导体产业的发展动态,以及对量测检测领域的影响,包括市场驱动力、挑战和技术演进路线等。3 半导体行业发展现状与趋势3.1 产业发展现状全球与中国市场规模:受益于 5G、AI、物联网等需求推动,全球半导体设备市场近年来快速扩张,2019 年~2022 年从 598 亿美元增长至 1,076 亿美元。2023 年由于芯片下游需求疲软出现小幅下滑,全球设备销售额约 1,063 亿美元,同比下降 1.3%。其中,中国大陆仍是全球最大的半导体设备市场,2023 年采购约 367 亿美元设备,占全球约 34.5%。在这一大背景下,用于工艺控制的量测 / 检测设备(即良率检测设备)也保持增长:2023 年全球半导体量测和检测设备市场规模达128.3亿美元,同比增长 1.6%,2019-2023 年 CAGR 高达19.1%。中国大陆市场同期由 16.9 亿美元增至约42.3亿美元,占全球比重从 26.5% 提升至约 33%。尽管短期增速有所区别,但中长期看大陆市场增势强劲,预计2025 年中国大陆量测设备销售将继续两位数增长。行业格局与竞争:半导体量检测设备属于高技术壁垒行业,全球市场集中度极高。前道量测 / 检测领域被少数国际巨头垄断,前五大厂商市占率超过 80%。美国科磊公司 (KLA) 是绝对龙头,在光学检测和裸晶圆检测等核心领域市占率超过 50%。KLA 在光学晶圆检查和无图形晶圆检测等关键市场份额甚至高达 85% 以上,在套刻量测市场份额超 66%。美国应用材料 (AMAT) 和美国 ONTO Innovation 分列第二、第三位,合计约占全球 市 场 的 30%。此 外,日 本 日 立 高 新 (Hitachi High-Tech)、荷兰 ASML(并购 HMI 进军电子束检测)、日本 Lasertec(光罩 EUV 缺陷检测领域独占地位)等公司在各自细分也占据重要份额。相较之下,中国本土厂商整体份额仍较低。近几年大陆量测设备国产化率从2020 年的约 2% 提升至 2023 年的约 5%,但高端领域多数仍依赖进口。按细分产品看,国产薄膜厚度量测和自动光学外观检查 (AOI) 设备市占率已达 10~15%,但高精度套刻误差量测接近 0%,X 射线检测不足 1%。这反映出国产设备在部分中端领域取得突破,但在顶尖精密检测方面仍有巨大提升空间。产业链结构:半导体量检测设备产业链包括上游核心零部件、中游整机厂商和下游晶圆制造 / 封测厂商。• 上游环节:高性能检测设备依赖许多精密零部件,其中高精度运动控制组件和光学组件最为关键,分别占设备成本约 21.5% 和 15.1%。目前这些核心部件主要依赖国外供应——例如设备前端模块(EFEM)、机械手臂、精密定位平台等运动控制件多数从日本厂商采购,先进光学部件(光源、镜头、传感器等)主要由日本、德国厂商提供。整体来看,上游关键零件国产化率较低,本土厂商多采用 “高端部件海外采购 + 国内组装集成”的模式。这一模式下,国产厂商的竞争力在于供应链管理和系统集成能力,能否获得稳定的海外核心部件供给是决定因素之一。值得注意的是,随着国内需求上升,海外零件交期延长,一定程度上制约了国产设备交付周期。因此,加速国产零部件研发也是产业趋势之一。• 中游环节:整机制造由专业的半导体检测设备企业完成。国际巨头如 KLA、AMAT 等通常拥有完整产品线,几乎涵盖所有前道检测 / 量测设备类别(KLA 产品覆盖率 >90%。中国厂商近年来快速跟进,产品已覆盖无图形晶圆检测、图形晶圆缺陷检测、光学膜厚测量、电子束缺陷检测与复查等多个门类,实现了对28nm及以上制程工艺的初步覆盖。当前多数国产设备能量产应用于 28nm 节点以上工艺,而对 14nm、7nm 等更先进制程还处于研发验证阶段。相比之下,海外领先厂商的设备普遍支持 2Xnm 以下工艺,KLA 最新一代 Surfscan SP7XP 无图形晶圆检测仪已应用于 5nm 及以下节点。因此国产厂商在产品种类完整性(覆盖面仅 20~30%)和高端制程支持方面仍明显落后于国际龙头,需要持续投入研发以缩小差距。• 下游环节:下游主要是晶圆厂和封装测试厂等终端用户。中国大陆近年来晶圆制造产能扩张迅猛,包括中芯国际、华虹、长江存储、合肥长鑫等多家厂商的新建扩建项目。下游产能提升直接带动对量测 / 检测设备的需求增长。同时,先进制程和新工艺(FinFET、3D NAND、Chiplet 等)的量产对过程控制提出更高要求,上游核心零部件中游半导体检测设备下游晶圆制造和测试设备前端模块(EFEM)机械手臂精密定位平台等先进光学部件:光源镜头传感器等日本日本和德国KLAAMAT等无图形晶圆检测图形晶圆缺陷检测光学膜厚测量电子束缺陷检测与复查等国际巨头>90%中国工艺门类中芯国际华虹长江存储合肥长鑫等FinFET3D NANDChiplet等中国厂商中国工艺门类10苏州智能制造研究院
每增加一道工序都需要高可靠检测来确保良率。因此,下游的扩产和技术升级成为推动检测设备市场的重要动力因素。综合来看,半导体量检测行业在全球呈寡头垄断格局,技术壁垒高、研发投入大。中国市场需求旺盛且政策支持有力,近年本土企业实现从 0 到 1 的突破,逐步切入全球价值链。但目前国产高端设备占比仍小,整体仍处于国产化替代的早期阶段。未来随着技术攻关和下游市场驱动,国产厂商有望在中端领域扩大份额,并向更先进节点迈进。3.2 全球行业最新发展趋势全球半导体产业仍在持续增长,摩尔定律虽趋缓但并未停止,新技术节点和新封装技术不断涌现。这些变化对检测行业提出了新的需求和机遇。首先,先进制程节点的推进使得缺陷尺寸更小、类型更多样。例如在7nm 及以下节点,光刻中的随机缺陷和量子隧穿引发的新现象增加。这要求检测设备具备更高分辨率(如借助电子束、多模态检测)以及更智能的数据分析能力(AI 辅助识别)来确保良率。EUV 光刻的量产带来了光罩缺陷和光刻随机缺陷的新挑战,推动了 EUV 光学检测、颗粒控制和缺陷预测等技术的发展。Lasertec 等公司因EUV 光罩检测设备需求猛增而快速成长。其次,晶体管架构的革新引发检测需求转变。以即将商用的环栅 (GAA) 晶体管为例,其结构为多层纳米片叠堆,相比 FinFET 更复杂。Onto Innovation 公司因其Atlas 量测系统在 GAA 结构测量上表现出色,而被台积电、三星、英特尔选中,用于下一代 GAA 工艺的关键计量和检测。这一趋势表明,新架构往往会打破原有供应商格局,带来市场份额重分配的机会。Semi Analysis 分析指出,在 GAA、3D NAND 等新技术驱动下,像 Onto这样专注特定计量领域的公司有机会取得相对于 KLA等巨头的小幅市场份额提升。同样地,存储器行业 3D NAND 已堆叠超过 200 层,3D 结构高度的增加要求计量设备测量深宽比极高的结构(如深沟槽、通孔),这推动了高深宽比 CD-SEM、X 截面分析等技术需求。再次,先进封装和异构集成已成为行业热点,包括Chiplet 芯粒、2.5D 硅中介层、3D SoC 等。这些技术使得封装级检测的重要性前所未有地提高。复杂封装相当于“微型系统”,内部可能封装了逻辑 + 存储 + 模拟等多芯片。如果封装过程中某一个芯片或连接失效,将导致整个系统报废,成本高昂 。因此,封装阶段现在需要全面的检测方案:AOI 检查外部缺陷,X 射线 / 超声检查内部缺陷,电测试结合红外扫描检查功能缺陷。X 射线检测从实验室工具变成先进封装生产线的刚需,并持续改进速度以满足量产节拍。可以说,封装复杂化使得“后段检测前移”,很多传统在成品检测才做的检查现在在封装中就需完成,以避免将缺陷带入后续环节。全球市场还体现出应用驱动的结构性变化。汽车电子、物联网、5G 通信等新兴应用对芯片可靠性要求更高,同时对异质集成度要求提升。这两个因素均提高了检测标准:一方面,汽车芯片需要零失效率,任何潜在缺陷都需揪出(这促进行业内掀起 “零缺陷” 计划,检测覆盖率进一步提高);另一方面,新应用催生新器件类型(如功率器件、传感芯片等),对应的检测手段也需开发(如碳化硅晶圆表面微管缺陷的特殊检测等)。特别是电动车和自动驾驶的兴起,引爆了功率半导体和传感器需求,这些领域的晶圆缺陷检测标准和逻辑芯片不同,设备厂商也在调整策略推出针对性产品。最后,从竞争格局看,行业整合与合作并行出现。一方面,大厂通过并购整合技术。例如 Thermo Fisher 收购 FEI 将 TEM 纳入自身产品线,ZEISS 与 ASML 合作开发 EUV 检测解决方案。这些举措使综合供应能力加强。另一方面,不同企业也开始合作来应对新难题,如台积电与多家检测公司合作研究 EUV 随机缺陷监控、IBM 与企业共研 AI 在良率管理中的应用。这些趋势都说明,随着技术难度上升,检测领域呈现“巨头更强、小玩家细分突破、产业合作更紧密”的态势。3.3 中国半导体行业趋势中国作为全球最大的半导体市场,近年来在政策引导和资金支持下,加速推进半导体产业链本土化。检测设备作为产业链关键环节,呈现出一些不同于全球的趋势。首先,政策驱动和投资加码是中国半导体产业的显著特点。中国政府将半导体列为国家战略,高度优先投入数千亿美元以培育本土半导体生态。国家集成电路产业投资基金(“大基金”)已历经三期:最新的第三期基金于 2024 年设立,规模高达 3440 亿元人民币(约 475亿美元),反映出实现半导体自主可控的决心。这些资金大力支持晶圆制造、EDA 软件、材料设备等各环节的发展。就检测领域而言,多家本土检测设备公司都曾获得大基金或地方基金入股,助其技术攻关和扩产。政府还半导体芯片汽车电子物联网5G通信苏州智能制造研究院11
推出税收减免、研发补贴等优惠政策,鼓励半导体装备企业创新。例如,针对制造 28nm 及更先进工艺的企业提供 10 年免税待遇,这也涵盖了满足相应技术要求的设备企业。地方政府则通过设立专项资金、产业园等方式,支持检测设备项目落地。其次,本土市场需求旺盛。随着中资晶圆厂(如中芯国际、长江存储等)产能扩张,对检测设备的需求同步增长。中国大陆晶圆厂在新建和扩产时,除了进口设备,也积极尝试国内设备以降低受制于人的风险。近年来,中国晶圆厂对 KLA 等公司的采购增长显著,一定程度上拉动了这些国际厂商业绩。例如 2017 年中国客户对KLA 设备订单增长近 3 倍 。但同时也给予本土厂商试用机会,如华虹等晶圆厂上线了国内供应的量测设备进行评估。存储领域,长江存储、长鑫存储等也需要大量检测设备支撑 3D NAND 和 DRAM 量产。可以说,中国巨大产能形成了内需牵引,在进口受限背景下,这种需求为国产检测设备提供了宝贵市场空间。这也是为何各地纷纷涌现检测设备创业公司的原因,因为只要产品达到量产线基本要求,就有潜在客户愿意采购尝试。再次,技术追赶与差异化。在高端逻辑制程(如 7nm及以下)检测设备方面,中国起步较晚,与国际先进水平仍有差距,部分领域大概落后 5 年以上 。例如 EUV 光罩检测、亚 10nm 缺陷扫描仪这些尖端设备,目前仍主要依赖进口。但在某些相对成熟领域,本土公司已经取得突破甚至局部领先。如功率半导体(硅和碳化硅)晶圆检测,由于国际大厂重心在逻辑晶圆,本土企业在这一细分市场有机会做得更好更贴近客户需求。此外,一些国内厂商选择差异化路线,避开 KLA 等垄断的传统强项,转而开发新型检测方案。第四,外部环境影响。美国自 2018 年以来对中国实施多轮半导体出口管制,直接影响了高端检测设备的进口。2024 年底,美国将多家中国半导体设备企业列入“实体清单”,这使得相关企业进口某些美国技术受限,也反映出中国企业在一些细分领域已经具备一定威胁力。出口管制一方面短期带来困难,如关键零部件获取受阻,客户顾虑增加;但长远看更强化了中国本土替代的决心。在检测设备方面,中国企业开始从基础零部件(如高端光学镜头、激光光源、电子枪等)着手,降低对单一国家供应的依赖。同时,国内用户在采购时也更加重视供应链安全,愿意给予国产设备更多机会。可以预见,中美技术博弈背景将使中国检测设备行业走上一条自主加速的发展道路,尽管困难重重,但在政策、资金和市场合力下,有望逐步补齐短板。3.4 关键驱动因素与挑战驱动因素:半导体量检测行业的增长受多重因素驱动。其中最直接的是下游芯片产能扩张和技术升级。每当晶圆厂上马更先进工艺或扩建产线,必然需要新一批检测设备投入。例如在 14nm 向 7nm 过渡中,单晶圆所需检测步骤明显增加(因为工艺步骤增多且容错率降低),这带动单位晶圆检测设备支出上升。根据 Allied Market Research 分析,电子设备需求增长以及 5G 导入是带动全球检测设备市场上行的重要趋势。此外,良率收益驱动:随着芯片尺寸更小、成本更高,任何良率的提升都带来巨大经济收益,促使晶圆厂舍得投入更多资源在过程控制上,这对检测设备需求形成支撑。政策与战略因素在中国尤为明显——政府的大力支持相当于人为加速了本土检测产业的发展。新应用领域的拓展也驱动检测技术演进,比如晶圆制造之外的封装、PCB检测等市场对 AOI、X-Ray 的需求上升,开拓了检测设备的新蓝海。最后,竞争与合作环境也在推动行业:国际巨头为了保持技术领先,不断研发新产品线(如 KLA近年推出针对 MicroLED、先进封装的专用检测方案);而新进入者为了赢得市场,往往聚焦某一点技术突破,从而带动该技术发展。挑战:首先,来自技术极限的挑战。当器件尺度进入原子级别,不可避免出现测不准、测不到的问题。例如EUV 光刻产生的随机桥接、孔缺失等缺陷非常微小且随机,传统缺陷检测存在漏检风险,需要新的方法应对(例如通过在敏感层引入检测 pattern 或使用预测算法)。量测方面,当线宽只有几纳米时,测量过程本身(如电子束曝光)可能改变被测对象,这对计量精度和方法提出前所未有要求。其次,成本与效率也是挑战。检测设备本身昂贵(顶尖的晶圆检测设备单台价格数百万美元起),且运行维护成本高,占用洁净厂房空间。在产能吃紧时,如何在保证良率的前提下减少检测步骤成为晶圆厂关注的问题。部分客户希望通过抽样检测、设备优化等降低检测成本,这对设备厂商既是压力也促其改进(如研发更高速的工具来减少瓶颈)。第三,数据整合与分析挑战。现代晶圆厂,每天检测数据海量,但如何将这些数据转化为有价值的工艺改进信息并非易事。这需要检测设备提供智能化的数据平台,与 FAB 的制造执行系统集成,甚 至 不 同 供 应 商 设 备 的 数 据 互 联 互 通。这 涉 及苏州智能制造研究院12
标准化和合作问题,目前业界仍在探索有效的解决方案。第四,供应链和贸易挑战,上文提及美国出口管制使部分关键技术供给不确定,对中国企业而言是挑战,对国际厂商而言失去市场也带来业务挑战。此外,宏观经济波动导致半导体周期起伏,也会影响检测设备需求的短期波动(例如2022-2023存储寒冬导致部分检测设备订单推迟)。综上,半导体检测行业机遇与挑战并存,需要在技术创新、成本控制和供应安全上持续发力。3.5 未来技术演进路线展望未来十年,半导体量测与检测技术将沿着几个主要方向演进:更高分辨率与灵敏度:这是永恒的追求。为配合2nm、1nm甚至Angstrom级(埃级)工艺,将需要发展新型光源(如EUV/软X射线检测)、量子传感等颠覆性技术。例如,有研究探索利用量子纠缠光子成像提高缺陷检测信噪比;或者使用超短波长(比如6nm)的光进行更高分辨的光学检测。如果晶体管进入原子级结构,甚至可能需要借助同步辐射之类的大型装置实现某些计量。但更可行的是多模组合,即通过多种现有技术的组合实现间接提升。例如,将光学、电子束和X射线检测结果融合,以便综合判断缺陷性质,达到单一技术无法实现的效果。更高速度与产线适应性:一方面,多束并行电子束、快速扫描技术、以及自动化处理将提升检测通量。例如KLA等正在研制每小时可检测上百晶圆的电子束缺陷检查仪。另一方面,出现原位监测概念,即在工艺设备内部集成检测传感器,实现即时检测(称为APC,Advanced Process Control的一部分)。比如在化学机械抛光(CMP)设备内嵌入光学膜厚监测,在光刻涂胶显影机内置量测模块等,这样很多计量可以在工艺设备中完成而不经单独的计量站。未来工厂可能更趋向这种“影子设备”模式,使检测更分散地融入产线而非集中,以缩短反馈循环。更多智能化与软件定义:AI将更深地介入检测决策,自适应检测将成为可能——即设备根据实时良率情况调整检测策略,对高风险区域/产品加大检测,对稳定部分减少检测,实现效率与效果平衡。另外,“软件定义计量”是一个概念,即通过软件算法提升传统硬件的能力。例如基于机器学习的软件可以从较低分辨率图像中重建出高分辨缺陷特征,类似超级分辨率的思想应用在工业检测中。一些初创公司正尝试用这种思路开发低成本检测方案,对抗硬件巨头。与此同时,晶圆厂可能建立中央良率大数据平台,汇集所有检测设备数据,利用AI分析指导工艺和设备联动优化。这将改变目前单台设备各自为战的局面,使检测成为贯穿设计、制造、封装全流程的闭环信息系统。新检测范式:随着新器件、新材料不断涌现,可能需要全新检测范式。例如,碳基/二维器件(石墨烯、碳纳米管)如果应用,传统光学/电子束对其缺陷敏感性未知,可能引入拉曼光谱等方法。又如量子计算芯片中的超导线路,需要在低温下检测性能,这催生低温电测和量子态测量技术的发展,这可看作广义检测的一部分。将来某些复杂3D封装,可能需要在使用环境下实时监测(如智能封装带自检功能),这实际上是让产品自带检测。行业生态演变:技术路线的演进也将重塑行业格局。高度智能化可能弱化传统设备厂商壁垒,让IT公司、软件公司参与进来(例如IBM开发AI良率服务)。而在硬件上,超高端设备开发难度极大,可能由国际联盟合作完成,然后成员共享(类似EUV光刻机由ASML+ZEISS+Cymer等合力)。这种趋势可能延伸到EUV检测、下一代计量设备上。中国在这一生态中则需要走出自己的路线,通过自主研发和国际合作并举,在部分领域形成技术自给,同时融入全球标准体系。综上,未来半导体检测技术将更加多元融合、智能高效,其重要性只增不减。在后摩尔时代,“More than Moore” 中,性能提升更多来自封装与系统集成,而不管芯片如何集成,保障每一颗芯片、每一次连接可靠工作的基石仍然是检测。可以说,检测技术的进步将为半导体工业的下一个十年保驾护航。苏州智能制造研究院13
本章介绍半导体量测检测领域的国外主要企业,将涵盖企业主营业务、技术优势、市场地位和发展历程等。4 重点企业介绍KLA 公司(KLA Corporation):全球最大的半导体过程控制(检测计量)设备供应商,总部美国。KLA 产品几乎覆盖所有检测计量环节,包括晶圆缺陷扫描仪、光罩检视系统、CD-SEM、膜厚测量、叠对误差计量等。KLA 以 1978 年推出首台自动光罩检测仪打开市场,此后不断创新,是现代良率管理理念的奠基者。如今全球各大晶圆厂均大量采用 KLA 设备,KLA 在有些子领域市占率超过 90%(如薄膜计量)。2019 财年 KLA 营收约105 亿美元,在纯检测计量市场占据主导地位。其优势在于技术全面和软件分析强大,被誉为 “晶圆厂的显微镜”。KLA 近年来也拓展新兴领域,如针对 PCB/ 显示的检测。由于产品组合丰富、客户基础牢固,KLA 稳居行业龙头。应用材料公司(Applied Materials, AMAT):半导体设备巨头之一,美国公司。AMAT 主业在薄膜沉积、刻蚀等制造设备,但其过程诊断与控制部门也提供检测产品,如 eBeam 缺陷复查系统和片上量测仪等。AMAT在 90 年代通过并购进入检测领域,例如收购 Orbot 获得光学检测、收购 Opal 获得电子束技术,曾一度占据不小市场份额。但近年来其在检测市场份额有所下滑。2020 年前后市场占比降至个位数 %,主要原因是未能在新一代光学检测上突破,份额被 KLA 等抢走。不过AMAT 在缺陷复查(Review SEM)领域仍有优势,其SEMReview 系列与日立分庭抗礼。作为综合设备大厂,AMAT 的策略是将检测融入整体解决方案,如与其刻蚀设备联用实现及时反馈调节。东京电子(Tokyo Electron, TEL):日本最大的半导体设备商,主营光刻涂胶、刻蚀、CVD 等。TEL 在检测计量方面涉足较少,历史上曾与 KLA 合作销售后者设备。在计量上 TEL 曾推出 Opti-Probe 膜厚计等产品。TEL 更大的关联是其光刻工艺设备可以集成计量模块(如在涂胶机上集成线宽测量),这种趋势代表工艺设备与检测的融合。日立高新技术(Hitachi High-Tech):日本企业,扫描电子显微镜(SEM)领域的领导者。日立的 CD-SEM和缺陷复查 SEM 广泛应用于全世界晶圆厂,其分辨率与稳定性业界领先。日立在 CD 量测市场一度占有率第一。并 在 7nm 及 以 下 节 点 继 续 推 出 新 的 高 分 辨CD-SEM 机型。日立还提供基于 SEM 的自动缺陷分类系统。总体而言,日立是电子光学技术的佼佼者,其设备与 KLA 的光学扫描仪相辅相成,共同构成大多数 FAB的检测配置。Lasertec:日本公司,专注光罩与晶圆缺陷检测。Lasertec 因在 EUV 光罩检测上的先发优势而声名大噪——其 MATRICS 系列 EUV 光罩检测设备填补了ASML 空白,成为全球唯一商业化的 EUV 掩模检测工具。受益于 EUV 需求,Lasertec 业绩猛增,市值大涨。除EUV 外,Lasertec 也生产前道晶圆表面检测仪、缺陷复查显微镜等,在特定细分市场与 KLA 竞争。其成功体现了专注单一领域、小公司也能取得突破的案例。ASML:荷兰公司,光刻机巨头。ASML 本身并非传统检测供应商,但在 2016 年收购了台湾 Hermes Microvision (HMI),进入电子束检测领域 。HMI 的电子束缺陷检测仪在业界评价很高,ASML 借此可以为客户提供 “光刻 + 检测” 联合方案,用于发现 EUV 光刻中极小的随机缺陷。ASML 还开发 YieldStar 等设备,安装在光刻机上测量曝光后的对准和线宽,这是一种结合光刻工艺的计量工具。凭借光刻机的垄断地位,ASML 将部分计量功能内置,使自己在良率控制中也占一席之地。未来 ASML 与 ZEISS 合作的 EUV 光罩显微镜也是检测装备的一种。总体而言,ASML 的策略是拓展“周边设备”丰富产品生态。Thermo Fisher Scientific:美国公司,通过 2016年并购 FEI 进入半导体市场。FEI 是顶尖电子显微镜厂商,其 TEM、FIB 设备用于芯片截面分析、原子级计量等。如今 Thermo 在半导体失效分析和研发分析工具市场占主导地位,其 TEM 几乎是每条先进工艺开发线的标配。虽然 TEM 不直接参与产线过程控制,但对于攻克良 率 问 题 和 验 证 新 工 艺 必 不 可 少。可 以 说 Thermo Fisher 掌握了后摩尔时代“分析显微镜”的制高点。牛津仪器、蔡司等:这些是提供特种计量工具的厂商。英国 Oxford Instruments 生产低温电学量测设备、苏州智能制造研究院14
薄膜厚度 X 射线仪等。德国 ZEISS 专精于光学镜头,也与行业合作开发 EUV 掩模检测显微镜。ZEISS 在电子显微领域也有产品线,如 ZEISS SMT 部门推出 SEM 和离子显微镜。虽然这些公司规模不如前述巨头,但在特定技术上不可或缺。Nova 测量仪器(Nova Ltd.):以色列公司,专注于光学计量(OCD 散射计量)和 X 射线膜厚仪。Nova 凭借其光散射计量软件和硬件,在全球薄膜厚度和复杂形貌测量市场占据重要地位。Nova 的集成计量(IM)工具可以直接安装在工艺设备上,实现实时测量。Nova 公司虽小,但在 OCD 领域市占率很高,扮演大厂产品线的有益补充。Onto Innovation:美 国 公 司,由 Rudolph 和Nanometrics 合并而成(2019 年)。Onto 主营 OCD 计量、缺陷检测和封装检查。其 Atlas OCD 设备在先进工艺量测中与 KLA 竞争,据分析,Onto 凭借软件优势赢得了部分新技术(如 GAA 晶体管)的订单。此外,Onto的封装检测设备在高端存储封装中应用广泛。作为新兴整合公司,Onto 正逐步扩大市场份额,是值得关注的后起之秀。Camtek:以色列公司,AOI 检测专家。Camtek 起家于 PCB/ 封装 AOI,后来拓展到晶圆表面检测和凸点(bump)检测。Camtek 的 AOI 设备在先进封装产线应用较多,可以高速检查晶圆凸点阵列的缺陷、共面性等。随着 Chiplet 封装兴起,Camtek 业务有所增长。虽然规模不大,但其专注封装检测,占据该细分重要市场份额。Koh Young:韩国公司,全球锡膏检测 (SPI) 和SMT 焊点 AOI 领导厂商。近年 Koh Young 将 3D AOI技术拓展到半导体先进封装,推出针对 Wafer Level Packaging 的 3D 检测设备。它的强项是高速 3D 成像和精准测量,在 BGA 焊球检测等方面有独到技术。作为韩国企业,Koh Young 也在中国市场积极开拓,服务很多封测厂。Nordson Corporation:美 国 Nordson 旗 下 有测试与检测部门(Nordson Test & Inspection),产品包括 DAGE X-ray 检测系统、YESTECH AOI 系统等。Nordson 通过并购集成了多项 PCB/ 半导体检测技术。其 DAGE X 光机在半导体封装失效分析领域很有名,能够检测焊点空洞、键合线断裂等 。Nordson 的 AOI 则适用于封装载板等。这家公司体现了跨领域整合路线,将不同检测技术组合为一体。Bruker:美国 Bruker 公司在半导体领域提供 X射线分析仪、原子力显微镜等。Bruker 的 XRF(X 射线荧光)仪器用于半导体膜厚和成分测量,AFM 用于表面计量。Bruker 还涉足半导体 X 射线 CT,提供高分辨 3D X 射线用于先进封装质量控制。其特色是在材料分析仪器基础上针对半导体需求做优化。上述企业之外,还有许多专业化公司,如德 国aixACCT做四探针测量、美国 CDE Metrology做电容膜厚计等。在更广泛范畴,还包括为检测设备提供关键部件的公司,如美国Cymer提供激光光源(KLA 部分扫描仪用的 DUV 激光器),日本 Hamamatsu提供光电传感器等。总体而言,国际检测设备市场集中度高,KLA处于绝对领先地位,其后的几家公司(如日立、应用材料、ASML 等)瓜分余下市场。关键计量子领域往往由 2-3家主导,如 CD-SEM 领域日立、应用材料双雄;ATE 领域泰瑞达、爱德万共握 80% 市场。但是新技术浪潮也不断催生黑马,如 Lasertec 凭 EUV 崛起、Onto 创新通过并购整合发力。这些国外企业通常拥有深厚的技术积累和全球化服务网络,占据高端市场;然而,它们也时刻关注新趋势以调整策略。随着半导体技术范式改变,未来国际格局可能出现微妙变化,新进入者和细分领军者有机会分得一杯羹。但可以预见的是,在可见的将来,国际巨头仍将掌握核心检测技术的主动权,而中国等后来者需要在特定领域实现差异化突破与追赶。苏州智能制造研究院15
5.1 中国地区产业政策中国政府高度重视半导体检测设备行业的发展,通过政策法规、资金支持、技术标准等手段,为产业营造良好环境并推动本土化进程。国家政策法规的影响:早在 2014 年国务院发布的《国家集成电路产业发展推进纲要》中,就明确将集成电路装备(含检测设备)列为重点支持方向。随后 “十三五”、“十四五” 规划持续强调芯片装备自主可控。2020年 8 月,国务院颁布《关于促进集成电路产业和软件产业高质量发展的若干政策》,提出对 28nm 及以下制程线生产企业免征 10 年企业所得税等优惠。这实际上涵盖了配套设备企业:只要为先进制程生产提供关键设备,也可享受相应税收优惠。此外,《鼓励外商投资产业目录》中也将半导体设备列为鼓励类,允许更多外资技术进入合作。总体而言,国家层面政策为半导体设备行业提供了税收、用地、进出口等多方位支持,为企业减负增效。例如,中微公司在科创板招股书中披露,其享受了高新技术企业税收优惠和研发加计扣除等,大幅降低了运营成本。政策层面的明确支持增强了投资者信心,引导社会资金流入该领域。政府资金和产业基金:上一节提到的“国家大基金”是 支 持 半 导 体 装 备 的 重 要 抓 手。第 一 期 大 基 金(2014-2019)投资了北方华创、中微公司等,使其快速成长。第二期大基金(2019 启动,规模 2000 亿元)持续加码装备和材料领域。2024 年启动的第三期大基金更是达到 3440 亿元。除了国家级基金,各地政府成立了逾 15 支地方集成电路基金,总规模约 250 亿美元,用于扶持本地半导体项目。政策叠加资金的作用,使得很多初创公司在孵化期就获得资本支持,不像过去只能依赖民间力量。这极大加速了产业化进程。当然,资金热潮也导致一定程度的重复投资风险,政府目前也在强调基金运作的专业性和审慎性,以避免浪费。科研和人才政策:政府通过重大专项、重点研发计划等形式,支持检测设备关键技术攻关。例如“极大规模集成电路制造装备及成套工艺” 专项中,就包括了光学曝光系统、测量仪等课题,一些高校和企业联合承担攻关任务。中科院、清华、北大等科研机构在检测计量领域的国家自然科学基金项目也逐年增加,为行业培养人才和产出专利。各地产业园区出台人才引进政策,对高层次人才给予住房、补贴。在苏州工业园区、西安等半导体基地,都设有检测设备研发中心或中试线,为中小企业提供共享实验条件。这些举措缓解了企业早期研发资源不足的问题。标准和合规要求:半导体设备本身高度国际化,中国 企 业 在 开 拓 市 场 时 需 要 满 足 各 种 标 准。国 际 上 由SEMI 组织制定的半导体设备标准(如 SEMI S2 安全标准)已为各国厂商普遍遵循。中国制造的检测设备若要进入主流晶圆厂,也必须通过 SEMI S2 等评估,以确保在安全、互联兼容方面符合要求。因此,中国检测设备厂商通常积极参加 SEMI 中国组织的标准培训和认证,有的还加入 SEMI 标准制定委员会,为制定规则发声。目前在专业技术标准方面,中国更多是采用国际标准,但在术语和测试方法上也逐渐输出中国建议。2023 年发布的《质量强国建设纲要》特别提出提升检验检测等科技服务能力,开展高端计量仪器、检验检测设备的研制和验证。这表明国家在宏观战略上将高端半导体检测仪器视作提升国家质量基础设施的关键之一。与此同时,由国内单位主导制定国际标准也取得突破。例如中科大牵头制定的半导体线宽测量首个 ISO 国际标准(基于测长扫描电镜的 CD 测量方法)于 2023 年正式发布。填补了该领域国际标准空白。这有助于提高我国在半导体计量国际标准化中的话语权。环境和产业链:政策也关注环保、安全等因素。例如国家推行的安全生产规范,对设备的安全联锁、应急停机等提出要求。地方对粉尘、化学品排放有规定,设备设计需考虑。还有产业链协同政策,如鼓励芯片制造企业采购国产装备给予补贴。这种以购代建模式,在长江存储等项目上已有实践——政府对使用国产设备的芯片厂按设备价一定比例奖励,提高其采用国产的积极性。此举对检测设备推向市场很有帮助。综上,中国的产业政策为半导体检测行业提供了全方位的支持,从税收优惠、专项资金到标准指引,形成了“政产学研用” 合力推进的局面。政策之手在中国模式中扮演重要角色,既推动了行业快速起步,也在一定程度上避免市场失灵。总体而言,在强有力的政策引导下,中国半导体检测设备行业已经走上快车道,正朝着自主可控、全面发展的目标迈进。5.2 国际标准与贸易政策国际标准与行业组织:半导体检测领域的国际标准主要由ISO和 SEMI、IEC、IEEE等制定:•ISO(国际标准化组织):关注检测方法和计量基准。例如前文提到的 ISO CD-SEM 线宽测量标准。ISO还发布晶圆表面洁净度、微观分析等相关标准,为各国提供统一参考。•SEMI(国际半导体设备与材料协会):制定大量半导体生产接口和测试标准。例如 SEMI E10(设备可靠5 产业政策与标准苏州智能制造研究院16
性评价)、SEMI E78(洁净度评估)等。特别是检测设备需要遵循 SEMI 制定的通信协议(如 SECS/GEM 标准)以实现车间自动化对接。•IEEE/IRDS:IEEE 组织牵头的国际半导体技术路线图 (IRDS)提供了对未来 15 年行业技术需求的展望。IRDS 的计量章节每年更新,识别新的测量挑战并描述潜在技术路径。例如最近 IRDS 讨论了对 2D 材料、新型器件测量的需求,这些路线图为各国研发方向提供指引。IEEE 还发布特定技术标准,如针对半导体测试接口、ATE 硬件的标准,完善整个检测与测试体系。国际贸易政策:全球范围内,半导体制造设备(包括检测设备)被视为关键战略物资,各主要经济体在进出口上均有相应政策:•出口管制:正如前文所述,美国及盟国对高端半导体设备实施严格管制(例如美国《出口管理条例 EAR》规定向特定地区出口 7nm 及以下相关设备需许可证)。日本、荷兰等也执行类似法规,将先进检测仪器列入控制清单。这些措施旨在防止顶尖技术外流,但也对国际贸易和供应链产生重大影响。中国政府对此表示坚决反对,并出台《不可靠实体清单》等反制措施。同时,中国正申请世贸组织(WTO)争端解决,以挑战美国出口管制的合法性。可以预见,在相当时期内,高端检测设备的国际贸易会受到政治因素干扰,产业链区域化、本土化趋势将加强。•进口税费与鼓励:部分国家 / 地区为促进高端制造,引入先进行业所需设备会给予关税减免或免税。例如中国对重大技术设备实行进口免税政策,对于国内尚不能生产的检测仪器可以减免关税和增值税,以降低厂商引进成本。此外,各国也通过出口信贷、保险等手段帮助本国设备企业开拓海外市场。•多 边 协 定:在 多 边 框 架 下,瓦森纳协定(Wassenaar Arrangement)是控制军民两用高技术出口的国际机制,半导体制造及测试设备位列其中。协定成员国定期更新清单,将最先进的技术纳入管控。这意味着非成员国(如中国)在获取受控检测设备时面临制度性障碍。另一方面,ITA 协议(信息技术协定)下多数半导体设备关税已削减为零,这便利了设备的正常贸易(不考虑管制因素)。国际合作与竞争:半导体检测作为高度全球化的行业,国际合作主要体现在标准制定和研发项目上。比如国际计量局 BIPM组织的半导体计量对比实验,SEMATECH等联盟的联合研究,都旨在共同应对计量难题。同时,各国也在这一领域展开激烈竞争,既有企业层面的市场争夺,也有国家层面的产业政策博弈。总体而言,保持开放合作、制定公平标准,将有利于半导体检测技术的健康发展。但在现实中,地缘政治使这一领域日趋复杂化,产业链安全与技术领先成为各国权衡的重点。参考文献:【1】 Allied Market Research, Semiconductor Metrology and Inspection Market Forecast 2031, 2022 【2】 Semiconductor Digest, Top semiconductor metrology/inspection equipment vendors..., 2018 【3】 SemiAnalysis, How Onto Innovation Is Gaining Market Share From KLA, 2022【4】 GlobeNewswire (The Insight Partners), Market Share Worth $11.10 Bn by 2028, 2022【5】 Reuters, China sets up third $47.5 bln semiconductor fund, 2024【6】 Creating Nano Tech, China’ s top tensemiconductor equipment Q3 2023, 2023【7】 Silicon Saxony, KLA-Tencor: Leading Yield Management, 1998【8】 SemiEngineering, X-ray Inspection in Advanced Packaging, 2024【9】 Averroes.ai, AOI in Semiconductor & PCB Manufacturing, 2024 【10】 ITIF Report, How Innovative Is China in Semiconductors?, 2024【11】 SEMI, S2 Safety Guideline (Intro), 2018 【12】头豹研究院《2024 年中国晶圆检测设备行业研究报告》, 2024苏州智能制造研究院17
imrsz@imrsz.com苏州吴中区木渎镇珠江南路888号imrsz.com为推进实施“中国制造 2025”,苏州市先后出台了一系列政策文件,将发展智能制造列为重要任务和工程进行了部署,旨在大力推进制造业转型升级,加快打造具有国际竞争力的先进制造业基地和具有全球影响力的产业科技创新高地。在苏州市、吴中区两级政府的高度重视和大力支持下,浙江大学机械工程学院和苏州市吴中科技创业园合作共建了“ 苏州智能制造研究院有限公司”。苏州智能制造研究院有限公司(简称苏州智能制造研究院)注册建立独立法人公司,市场化运行。将建成构架合理、开放共享,独立运营、自负盈亏,服务产业、良性发展的新型研发机构。苏州智能制造研究院建立“研发 + 产业孵化”模式,面向智能制造产业创新发展的重大需求,集聚智能制造与创业孵化的优质资源协同创新,从事智能制造核心技术研究和产业共性关键技术研发,开展人才培养、检测咨询、成果转移与创业孵化等服务活动,为区域实施创新驱动发展战略提供技术支撑。研究院简介