ISSN 2523-1294 www.siscmag.com 2024年12月/2025年1月
掩模版世界正在转向曲线
先进热控制提高晶圆制造良率
高效光子器件测试和组装
突破功率极限
P.12
引领
P.16
P.20
P.24
P.28
微 信 公 众 号
Greene Tweed
先进半导体制造精密
密封技术潮流
2 2024年 12月/2025年 1月 半导体芯科技 www.siscmag.com
目录 CONTENTS
关于《半导体芯科技》
《半导体芯科技》(原半导体科技)中国版(SiSC)是全球最重要和最权威的杂志Silicon Semiconductor的“姐妹”杂志,由香港雅时国际商讯出版,报道最新半导体产业新闻、深度分析和权威评论。为中
国半导体专业人士,提供他们需要的商业、技术和产品信息,帮助他们做出购买决策。《半导体芯科技》内容覆盖半导体制造、先进封装、晶片生产、集成电路、MEMS、平板显示器等。杂志服务于中国
半导体产业,包括IC设计、制造、封装及应用等。
About Silicon Semiconductor China
Silicon Semiconductor China is the 'sister' title to Silicon Semiconductor - the world most respected and authoritative publication, published by ACT International in Hong Kong (former SST China), reports the latest news,
in-depth analysis, and authoritative commentary on the semiconductor industry. It provides for Chinese semiconductor professionals with the business and technology &product information they need to make informed
purchasing decisions. Its editorial covers semiconductor manufacturing, advanced packaging, wafer fabrication, integrated circuits, MEMs, FPDs, etc. The publication serves Chinese semiconductor industry, from IC design,
manufacture, package to application, etc.
关于雅时国际商讯 (ACT International)
雅时国际商讯(ACT International)成立于1998年,为高速增长的中国市场中广大高技术行业服务。ACT通过它的一系列产品-包括杂志和网上出版物、培训、会议和活动-为跨国公司
及中国企业架设了拓展中国市场的桥梁。 ACT的产品包括多种技术杂志和相关的网站,以及各种技术会议,服务于机器视觉设计、电子制造、激光/光电子、射频/微波、化合物半导体、
半导体制造、洁净及污染控制、电磁兼容等领域的约二十多万专业读者及与会者。ACT 亦是若干世界领先技术出版社及展会的销售代表。ACT总部在香港,在北京、上海、深圳和武汉
设有联络处。www.actintl.com.hk
About ACT International Media Group
ACT International, established 1998, serves a wide range of high technology sectors in the high-growth China market. Through its range of products -- including magazines and online publishing, training, conferences
and events -- ACT delivers proven access to the China market for international marketing companies and local enterprises. ACT's portfolio includes multiple technical magazine titles and related websites plus a range
of conferences serving more than 200,000 professional readers and audiences in fields of electronic manufacturing, machine vision system design, laser/photonics, RF/microwave, cleanroom and contamination control,
compound semiconductor, semiconductor manufacturing and electromagnetic compatibility. ACT International is also the sales representative for a number of world leading technical publishers and event organizers. ACT is
headquartered in Hong Kong and operates liaison offices in Beijing, Shanghai, Shenzhen and Wuhan.
编者寄语 Editor's Note
4 中国市场为 IC 设计创新提供广阔空间和无限机遇
封面故事 Cover Story
12 用于先进半导体制造的精密密封技术 :Greene Tweed 引领潮流
Precision sealing for advanced semiconductor manufacturing:
Greene Tweed leads the way
作为 FFKM Chemraz® 密封解决方案的全球领导者之一,Greene Tweed 公司深知,
在像半导体制造这样复杂且极具挑战性的行业中,密封件必须是最优的。我们来
了解一下,为了确保芯片制造商在这个前所未有的增长时代拥有实现蓬勃发展所
需的各项条件,Greene Tweed 是怎样提升自身竞争实力的。
行业聚焦 Industry Focus
5 全球首条 6 英寸 Micro LED 量产产线在珠海建成
5 ASM 推出 PE2O8 碳化硅外延机台
6 AIXTRON 新创新中心支持化合物半导体向 300mm 晶圆过渡
6 博通推出 3.5D F2F 封装技术
7 英飞凌推出超薄硅功率晶圆处理技术
7 纳米级 3D 晶体管面世
8 Manz 亚智科技 RDL 制程打造 CoPoS 板级封装路线
9 将 OLED 显示屏引入电脑和电视机
10 耐晶科技推出 SERENO 设备
11 Kioxia 公布其新兴存储器技术
11 国内首条玻璃基半导体特殊工艺生产线即将投产
27 美国对华出口限制措施再升级
12
35
20
2024年 12月 / 2025年 1月出版ⅠDec 2024 / Jan 2025
www.siscmag.com 半导体芯科技 2024年 12月/2025年 1月 3
40 广告索引 Ad Index
目录 CONTENTS
《半导体芯科技》编委会 (排名不分先后)
徐冬梅 教授级高工 中国半导体行业协会副秘书长兼封测分会秘书长
于大全 教授 厦门云天半导体创始人
姚大平 博士 江苏中科智芯集成科技有限公司总经理
汤 晖 教授 广东工业大学、精密电子制造技术与装备国家重点实验室
罗仕洲 教授 磐允科技总经理
林挺宇 博士 广东芯华微电子技术有限公司总经理
杨利华 院长 两江半导体研究院
王文利 教授 西安电子科技大学电子可靠性(深圳)研究中心主任 雅时国际商讯顾问
刘功桂 教授级高工 中国电器科学研究院股份有限公司威凯技术中心主任
徐开凯 教授 电子科技大学、电子薄膜与集成器件国家重点实验室
何 进 教授 北京大学教授、深圳系统芯片设计重点实验室主任
技术 Technology
16 为什么掩模版世界正在转向曲线(一)
Why the mask world is moving to curvilinear(1)
20 先进的热控制技术可以提高晶圆制造良率
Advanced thermal control techniques to improve wafer manufacturing
yield
24 高效光子器件测试和组装的主动对准引擎的新进展
Advances in active alignment engines for efficient photonics device test
and assembly
28 突破功率极限
Pushing the power envelope
专栏 Column
34 芯粒时代即将到来 :这对您有何益处?
The Chiplet Universe Is Coming: What's in It for You?
35 使用建模和仿真设计电动汽车的安全电池管理系统
Design safe battery management systems for electric vehicles using
modeling and simulation
37 打造“CPU+”异构计算平台,Arm 灵活应对各类 AI 工作负载
Arm flexibly coping with various AI workloads by 'CPU+' heterogeneous
computing platform
2024年 12月 / 2025年 1月出版ⅠDec 2024 / Jan 2025
4 2024年 12月/2025年 1月 半导体芯科技 www.siscmag.com
中国市场为IC设计创新提供广阔空间
和无限机遇
2024 年 12 月 11-12 日,“上海集成电路 2024 年度产业发展论坛暨第三十届
集成电路设计业展览会”(ICCAD-Expo 2024)在上海世博展览馆隆重举行。通
过 1 场高峰论坛 +9 场分论坛 +2 万平米的设计业展览会,为集成电路产业链各
个环节的企业构筑了一个在技术、市场、应用、投资等领域交流合作的平台,
对集成电路发展具有重大意义。
本次大会以“智慧上海,芯动世界”为主题,深入探讨当前形势下我国集
成电路产业特别是 IC 设计业面临的困难与挑战以及发展建议,与会嘉宾围绕
EDA、IP、Chiplet、RISC-V、AI、制造、封测等领域,着重探讨半导体发展趋
势、先进数字芯片设计下的 EDA 新路径、基于 Chiplet 的智慧驾驶芯片平台、
RISC-V IP 2.0 模式、Foundry 技术创新、智算时代下的技术挑战、本土 EDA 的
机遇与挑战等热点话题。
中国半导体行业协会集成电路设计分会理事长魏少军教授为大会作了题为
《中国芯片设计业要自强不息》的主旨报告,详细介绍了 2024 年中国芯片设计
业总体发展情况,对过去一年中国 IC 设计业进行了剖析、总结和展望,特别是
对中国 IC 设计业各环节的主要数据进行了发布与解读,并对 IC 设计产业的发
展质量进行了分析,为产业持续发展提出了相应建议。
报告显示 :2024 年中国 IC 设计企业 3626 家,比上年又增加了 175 家。预
计 2024 年中国 IC 设计业销售 6460.4 亿元,增长 11.9%。2024 年各区域销售及
占比如下 :长江三角洲 3828 亿元、50.9%,珠江三角洲 1662.1 亿元、22.1%,京
津环渤海 1038.3 亿元、13.8%,中西部地区 985.5 亿元、13.1%。上海、深圳、
北京继续位于前三位。从产品领域发布来看,通信芯片和消费电子芯片的份额占
全部销售的 68.48%,超过三分之二。而计算机芯片的占比不到 11%,与国际上
25% 的占比差距巨大,由此也可以看出我国芯片产品的总体水平还处在中低端。
魏教授指出 :相较于 2023 年 8% 增长率,2024 年中国 IC 设计增长 11.9%,
重回高速发展轨道 ;但相较于全球半导体 19% 的增长率,中国 IC 设计首次低
于全球增速 ;前 10 大设计公司增长乏力,对行业进步贡献变小 ;中国 IC 设计
人力成本已经进入世界前列,虽然目前中国 IC 设计业整体还是小而散的局面,
但一些企业出现了大企业病,恶意竞争带来行业内耗,不讲规则,不能优胜劣汰,
行业发展出现清盘倒闭现象。
魏教授强调:产品是企业安身立命的根本,技术是芯片设计公司的生存基础。
中国 IC 设计期待不依赖先进工艺的芯片设计技术,设计企业要与制造企业合作,
联手提升产品。未来企业需要通过创新突破在竞争中取胜,不仅是传统的架构
创新和系统创新,特别是要关注应用场景,实现应用创新,中国巨大的市场为
IC 设计应用创新提供了广阔空间和无限机遇。
赵雪芹
Editor’s Note
编者寄语
ISSN 2523-1294
© 2024 版权所有 翻印必究
社长 Publisher
麦协林 Adonis Mak
adonism@actintl.com.hk
荣誉顾问 Honorary advisor
刘胜院士 Academician Liu Sheng
主编 Editor in Chief
赵雪芹 Sunnie Zhao
sunniez@actintl.com.hk
出版社 Publishing House
雅时国际商讯 ACT International
香港九龙 B,13/F, Por Yen Bldg,
长沙湾青山道478号 478 Castle Peak Road,
百欣大厦 Cheung Sha Wan,
13楼B室 Kowloon, Hong Kong
Tel: (852) 2838 6298
Fax: (852) 2838 2766
北京 Beijing
Tel/Fax: 86 10 64187252
上海 Shanghai
Tel: 86 21 62511200
Fax: 86 21 52410030
深圳 Shenzhen
Tel: 86 755 25988573 /25988567
Fax: 86 755 25988567
武汉 Wuhan
Tel: 86 27 82201291
UK Office
Angel Business
Communications Ltd.
6 Bow Court,
Fletchworth Gate,
Burnsall Road, Coventry,
CV56SP, UK
Tel: +44 (0)1923 690200
Chief Operating Officer
Stephen Whitehurst
stephen.whitehurst@angelbc.com
Tel: +44 (0)2476 718970
行业聚焦
www.siscmag.com 半导体芯科技 2024年 12月/2025年 1月 5
京东方华灿 Micro LED 晶圆制造和封装测试基地项
目投产仪式近日在珠海金湾区举行。该项目是全球首个实
现规模化量产的 Micro LED 生产线,也是全球首条 6 英
寸 Micro LED 生产线。
该项目自 2023 年 7 月底正式动工以来,历经项目封
顶、工艺设备搬入、产品点亮等重要节点,仅用 16 个月
就实现项目投产,成为全球首条 6 英寸 Micro LED 量产
产线,同时也是同行业第一个实现产线自动化的 Micro
LED 芯片制造工厂,在 MPD(Micro LED 像素组件)封装、
巨量转移、色彩转换等方面具有显著技术优势。
此次正式投产的 Micro LED 晶圆制造和封装测试基
地项目是京东方华灿布局新型显示技术的重要平台。其生
产产品为 Micro LED 晶圆和像素器件,主要面向超大和
超小尺寸的高清显示场景,用于大尺寸商用显示、AR/VR
头戴式显示设备和可穿戴设备等应用领域,全部达产后
全球首条6英寸Micro LED量产产线在珠海建成
将实现年产 Micro LED 晶圆 2.4 万片组(6 寸片)、Micro
LED 像素器件 45,000kk 颗的生产能力。
新型显示产业作为新一代信息技术核心产业,是
加快发展新质生产力的重要领域,也是珠海重点发展的
战略性新兴产业之一。Micro LED 作为重要的新型显示
技术,具有高亮度、高对比度、高响应速度、低功耗、
高可靠性、长寿命等技术特性,相比于 LCD、OLED、
Mini LED 等技术路线,在图像解析度、系统续航能力、
扭曲弯折设计、环境适应性、产业链兼容性等多个方面
具有显著优势。
该项目的建成投产填补了广东乃至全国新型显示产
业空白,将有效助力珠海抢占“终极显示技术”发展先机,
打造具有全球竞争力的新型显示产业集群,进一步带动更
多上下游企业落户珠海,为粤港澳大湾区打造超高清显示
Micro LED 产业基地注入强劲动能。
ASM 推出适用于碳化硅外延的新型双腔机台 PE2O8。
该产品专为满足先进碳化硅功率器件的制造需求而设计,
是一款拥有低缺陷率和高工艺一致性的标杆性外延机台,
可广泛应用于各类碳化硅器件的制造,在实现增加产量的
同时,降低拥有成本。
随着电气化趋势的不断演进,越来越多的功率器件制
造商选择将碳化硅应用于需求与日俱增的大功率器件中,
这其中就包括电动汽车、绿色能源和先进数据中心等产业。
同时,越来越多的产业也对于碳化硅器件的应用提出了低
成本的要求,促使碳化硅晶圆从 6 英寸到 8 英寸的升级进
一步加快。此外,受益于当下更为先进的碳化硅外延技术,
ASM推出PE2O8碳化硅外延机台
碳化硅设备制造商设计更大功率器件的能力也得到了增强。
得益于其独特的双腔设计,PE2O8 机台可以通过尤
为精确的控制方式沉积碳化硅,实现了更高产量和吞吐量。
其高度紧凑的独立双腔设计也有效保障了高生产率和低运
行成本。此外,PE2O8 机台还采用了更为简便的预防性
维护措施,有助于延长设备正常运行时间,减少计划外停
机时间。目前,ASM 已向全球多家行业领先的碳化硅功
率器件制造商交付了 PE2O8 机台。
ASM 公司副总裁兼等离子体和外延业务部门负责人
Steven Reiter 表示 :碳化硅功率产品正经历着一场关键的
技术转折期。客户逐渐从 6 英寸晶圆向 8 英寸过渡,这一
过程势必会对良率、外延工艺,以及产品缺陷控制等方面
提出更高的要求。凭借新颖的腔室设计,ASM 已成为了
碳化硅领域工艺一致性的行业标杆。随着此次 PE2O8 机
台推出,我们的相关产品组合也进一步升级,能够以更低
的拥有成本改善工艺控制,客户创造更高的价值。
先晶半导体 (ASM) 成立于 1968 年,通过半个多世
纪的发展,ASM 已在原子层沉积、外延、化学气相沉积、
Si 和 SiC 外延等领域取得卓越成就。
行业聚焦
6 2024年 12月/2025年 1月 半导体芯科技 www.siscmag.com
2024 年 12 月 13 日,AIXTRON 在位于德国黑措根拉
特的公司总部举行新创新中心开幕仪式,AIXTRON 展示
了拥有 1000 平方米洁净室空间的新研发综合体,它为化
合物半导体行业向 300mm 晶圆尺寸的过渡奠定了基础。
“随着创新中心新的具有 300mm 晶圆能力洁净室
的建成,我们将进一步扩大我们在技术市场的领导地
位,”AIXTRON 公司总裁兼首席执行官 Felix Grawert 博士
说,“我们已经拥有了第一套300mm氮化镓(GaN)原型系统,
这些系统也已集成到一些客户的试产线中。几十年来,我
们一直在研究技术解决方案,即使市场尚未具体定义其要
求。这使我们能够在早期阶段帮助客户进行产品开发,并
在需求首次出现那一刻提供为市场做好准备的创新技术。”
AIXTRON 投资约 1 亿欧元建造了这座最先进的综合
体。这座高科技大楼专为化合物半导体技术的下一个重要
步骤而设计 :GaN 和其他化合物半导体应用向 300mm 晶
圆尺寸的重要过渡。AIXTRON 是 GaN 材料系统的技术
领导者,由于出色的材料特性,GaN 被用于越来越多的
AIXTRON新创新中心支持化合物半导体向300mm晶圆过渡
电力电子应用。GaN 器件提高了消费电子产品中充电器
的效率,在可再生能源领域实现了高效的功率转换,并帮
助服务器和数据中心节能电源。GaN 器件也有助于人工
智能在各领域的应用,因为这些应用需要大量能源。
为 了 满 足 增 长 的 能 源 需 求,AIXTRON 正在推动
300mm 沉积技术的发展。与目前使用的 200mm 晶圆相
比,更大的晶圆尺寸为客户提供了2.25倍的晶圆面积提升,
300mm 晶圆将进一步助力能源效率的提升。此外,客户
可以首次在化合物半导体领域使用他们的 300mm 晶圆厂
和加工设备。这将使 GaN 半导体器件生产不仅更具成本
效益,而且还为未来的技术性能提升提供了机会。
“凭借 300mm 晶圆技术,我们首次将化合物半导体
带入半导体制造的主流。创新中心是我们战略的重要组成
部分,为下一代技术提供空间和能力。化合物半导体迈向
300mm 是一个里程碑,它将在未来几年为该行业带来众
多增长选择。”AIXTRON 先进技术副总裁 Michael Heuken
教授说。
博通公司宣布推出其 3.5D eXtreme Dimension 系统级
(XDSiP)封装平台技术。这是业界首个 3.5D F2F 封装技
术,在单一封装中集成超过 6000mm² 的硅芯片和多达 12
个 HBM 内存堆栈,以满足 AI 芯片的高效率、低功耗的
计算需求。
据介绍,博通的 3.5D XDSiP 平台在互联密度和功率
效率方面较 F2B 方法实现了显著提升。这种创新的 F2F
堆叠方式直接连接顶层金属层,从而实现了密集可靠的连
接,并最小化电气干扰,具有极佳的机械强度。博通的 3.5D
平台包括用于高效实现 3D 芯片堆叠的电源、时钟和信号
互联的 IP 和专有设计流程。
Broadcom 3.5D XDSiP的关键优势
增强的互联密度 :在堆叠的芯片之间实现了比 F2B
技术高 7 倍的信号密度。
更高的功率效率 :通过使用 3D HCB 而不是平面的
芯片间 PHY,将芯片间接口的功耗降低了 10 倍。
降低延迟 :在 3D 堆叠中,最小化了计算、内存和
博通推出3.5D F2F封装技术
I/O 组件之间的延迟。
紧凑的封装尺寸 :使互连器和封装尺寸更小,从而节
省成本并改善封装翘曲。
博通领先的 F2F 3.5D XPU 集成了四个计算芯片、一
个 I/O 芯片和六个 HBM 模块,利用台积电先进的工艺节
点和 2.5D CoWoS® 封装技术。博通基于行业标准工具的
专有设计流程和自动化方法学确保了芯片的首次成功,尽
管其极为复杂。3.5D XDSiP 已在关键 IP 块(包括高速
SerDes、HBM 内存接口和芯片间互连)上展示了完整的
功能和出色的性能。这一成就凸显了博通在设计和测试复
杂 3.5D 集成电路方面的专业技能。
行业聚焦
www.siscmag.com 半导体芯科技 2024年 12月/2025年 1月 7
美国麻省理工学院团队利用由锑化镓和砷化铟组成
的超薄半导体材料,成功研制出一种全新的纳米级 3D 晶
体管。这是迄今已知最小的 3D 晶体管,其性能和功能可
比肩甚至超越现有硅基晶体管,还能在远低于传统晶体管
的电压下高效运行,将为高性能节能电子产品的研制开辟
新途径。相关论文发表于《自然 · 电子学》杂志。
该团队还将量子隧穿原理引入新型晶体管架构内。
在量子隧穿现象中,电子可以穿过而非翻越能量势垒,
这使得晶体管更容易被打开或关闭。为进一步降低新型
晶体管“体型”,他们创建出直径仅为 6 纳米的垂直纳米
纳米级3D晶体管面世
线异质结构。测试结果显示,新型晶体管可以更快速高
效地切换状态。与类似的隧穿晶体管相比,其性能更是
提高了 20 倍。
这款新型晶体管充分利用了量子力学特性,在几平方
纳米内同时实现了低电压操作以及高性能表现。由于该晶
体管尺寸极小,因此可将更多该晶体管封装在计算机芯片
上,这将为研制出更高效、节能且功能强大的电子产品奠
定坚实基础。目前,团队正致力于改进制造工艺,以确保
整个芯片上晶体管性能的一致性。同时,他们还积极探索
其他 3D 晶体管设计,如垂直鳍形结构等。
英飞凌宣布在处理和加工史上最薄的硅功率晶圆方
面取得了突破性进展。这种晶圆直径为 300mm,厚度为
20μm,是目前最先进的 40-60μm 晶圆厚度的一半,仅有
头发丝的四分之一。继宣布推出全球首款 300mm 氮化镓
(GaN) 功率半导体晶圆和在马来西亚居林建成全球最大的
200mm 碳化硅 (SiC) 功率半导体晶圆厂之后,英飞凌再次
在半导体制造技术领域取得新的里程碑。
由于将芯片固定在晶圆上的金属叠层厚度大于20μm,
因此为了克服将晶圆厚度降低至 20μm 的技术障碍,英飞
凌的工程师们必须建立一种创新而独特的晶圆研磨方法。
这极大地影响了薄晶圆背面的处理和加工。此外,与技术
和生产相关的挑战,如晶圆翘曲度和晶圆分离,对确保
晶圆稳定性和一流稳健性的后端装配工艺也有重大影响。
20μm 薄晶圆工艺以英飞凌现有的制造技术为基础,确保
新技术能够无缝集成到现有的大批量 Si 生产线中,而不
会产生额外的制造复杂性,从而保证尽可能高的产量和供
应安全性。
这项创新将有助于大幅提高功率转换解决方案的能
效、功率密度和可靠性,适用于 AI 数据中心,以及消费、
电机控制和计算应用。与基于传统硅晶圆的解决方案相比,
晶圆厚度减半可将基板电阻降低 50%,从而使功率系统中
的功率损耗减少 15% 以上。对于高端 AI 服务器应用来说,
电流增大会推动能源需求上升,因此,将电压从 230V 降
低到 1.8V 以下的处理器电压,对于功率转换来说尤为重
英飞凌推出超薄硅功率晶圆处理技术
要。超薄晶圆技术大大促进了基于垂直沟槽 MOSFET 技
术的垂直功率传输设计。这种设计实现了与 AI 芯片处理
器的高度紧密连接,在减少功率损耗的同时,提高了整体
效率。
英飞凌是首家掌握 20μm 超薄功率半导体晶圆处理和
加工技术的公司。该技术已被应用于英飞凌的集成智能功
率级(直流 - 直流转换器)中,且已交付给首批客户。同时,
该技术还拥有与 20μm 晶圆技术相关的强大专利组合,体
现了英飞凌在半导体制造领域的领先创新优势。随着目前
超薄晶圆技术的发展,英飞凌预测在未来三到四年内,现
有的传统晶圆技术将被用于低压功率转换器的替代技术所
取代。英飞凌目前拥有全面的产品和技术组合,覆盖了基
于 Si、SiC 和 GaN 的器件,这些器件是推动低碳化和数
字化的关键因素。
行业聚焦
8 2024年 12月/2025年 1月 半导体芯科技 www.siscmag.com
Manz亚智科技RDL制程打造CoPoS板级封装路线
高科技设备制造商 Manz 集团领衔全球半导体先进封
装趋势,凭借在 RDL 领域的优势布局,针对 RDL 增层工
艺搭配有机材料和玻璃基板的应用,成功向多家国际大厂
交付了 300mm、510mm、600mm 及 700mm 等不同尺寸
的板级封装 RDL 量产线,涵盖洗净、显影、蚀刻、剥膜、
电镀及自动化设备。同时,为跨领域客户快速集成工艺技
术和设备生产,积极助力以板级封装为基础的未来玻璃基
板应用于人工智能芯片,让这一愿景变成现实。
大芯片和异构集成
市场调研显示,先进封装市场预计在 2029 年将达到
695 亿美元,从 2023 年至 2029 年的 CAGR 为 11%,从
2023 年 到 2029 年,2.5D/3D( 含 CoWoS) 的 CAGR 为
15%。这些增长由 AI、HPC、汽车和 AI PC 所驱动。行业
领导者正越来越多地采用大芯片和异构集成策略,使用先
进封装来补充前端扩展。它已成为制造厂、OSAT、IDM
和芯片设计关注的焦点。
半导体行业未来发展的驱动力是降低芯片生产成本,
而板级封装 (FOPLP) 突破硅片面积的限制,在芯片尺寸变大
的趋势下,应用方型基板以增加产能,无疑是实现降本增效
的关键解决方案之一。此外,随着大算力需求的不断增长,
业界一致认为玻璃基板将帮助芯片行业达到新的高度!
Manz 亚智科技 RDL 生产设备解决方案,能够在封
装中重新分配信号路径,确保不同封装层之间的精确互连,
率先助力板级封装量产落地及玻璃基板开发进程。
CoWoS产能吃紧,CoPoS力当先锋
在短期内,AI 芯片催生的强劲需求超出了目前市场
供量,业界正在探索更先进的封装形式与技术,从晶圆级
封装转型板级封装,以实现更好的面积利用率进而提升产
能。作为板级 RDL 方案产业化的领导者之一,Manz 亚智
科技认为,在当前 CoWoS(Chip-on-Wafer-on-Substrate)
产能欠缺下,CoPoS(Chip-on-Panel-on-Substrate)技术概
念是驱动先进封装进阶的趋势。
CoPoS 是 CoWoS 的面板化解决方案,作为 2.5D 封
装的另外一种选择,其硅中介层替换成有机材料中介层、
BT 基板替换成玻璃基板,在各种互连架构中实现的再分
配层,包括 RDL interposer (CoWoS-R/ CoWoS-L) 和玻璃
芯基板上的 RDL(玻璃版的 FC-BGA)——这也是当前
业界形成的共识,以应对下一代更高密度的 AI 芯片。
扇出板级封装(FOPLP),作为扇出晶圆级封装的延
伸,将多个芯片、无源组件和互连集成在一个封装内,并
以重新布线层(RDL)工艺,将芯片重新分布在具有面积
利用率优势的方形基板上进行互连,是具备产能优势的先
进封装技术。FOPLP 与传统封装方法相比,提供了更大
的成本效益。
随着中介层 / 有机基板将切换成玻璃,Manz 亚智科
技也将 RDL 工艺实现于 510mm×515mm 的玻璃基板,
实现高带宽、高密度的 D2D 互连。这一特性在 AI 计算
中尤为关键,能够有效满足数据传输与处理的迫切需求,
CoPoS 概念正在逐渐地实现中。
Manz亚智科技的RDL制程生产设备支持玻璃基板生产
Manz 亚智科技在 RDL 制程经验的基础上进行了前
瞻性的技术研发,投入更多研发力量,转向以玻璃基板为
基础的架构,聚焦于高密度玻璃基板与多样化化学品等制
程材料的合作开发与制程设备整合设计,强调针对不同类
型、不同厚度的玻璃达成内接导线金属化制程与 TGV 玻
璃通孔制程技术 ;以不同温度控制、流态行为控制及化学
药液,有效控制玻璃通孔内形状配置及深宽比,满足高纵
深比的直通孔、高真圆度等制程工艺需求,以此使芯片具
备更高频宽、更大密度和更强散热能力。
Manz亚智科技支持本土定制化解决方案
近年来,中国先进封装产业受到重点支持,各地大力
推进晶圆级封装、系统级封装、板级扇出封装和异质集成
封装等关键技术的发展。
Manz RDL多项制程设备,应用于FOPLP以及TGV生产制程流程,助攻面板级封
装量产进程。
行业聚焦
www.siscmag.com 半导体芯科技 2024年 12月/2025年 1月 9
随着中国半导体的崛起,先进封装成为本土最具国际
竞争力和先导性的产业之一。板级封装成本优势明显,与
晶圆级封装实现互补,是先进封装助力下一代 AI 芯片的
前锋。头部先进封装厂凭借先发优势深度布局了 2.5D 及
FOPLP 产线进入大规模建设和量产阶段,头部光电显示
面板厂正在转型玻璃基板的研发试产。
Manz 亚智科技凭借本土技术和经验提供定制化解决
方案,推动先进封装制程的灵活性与创新性。Manz 单板
型 PLP RDL 技术已通过 L/S 15μm/15μm 的验证,并处
于量产阶段。输送机类型 ( 直列式 )PLP RDL 技术已通
过 L/S 5μm/5μm 的验证,也适用于小批量生产。CoPoS
技术中针对 RDL 增层工艺搭配有机材料和玻璃基板的应
用生态系统正在建设中。
面对 AI 趋势下 CoWoS 技术带来的新芯片产能不足
挑战,CoWoS 面板化的 CoPoS 技术愈发受到业内关注。
Manz 亚智科技板级 RDL 解决方案适用于 FOPLP、玻璃
基板 TGV、异质芯片整合等多种封装和基板结构,具备
卓越灵活性。
Manz 亚智科技总经理林峻生先生表示 :“为了提供客
户全方位及多元的 RDL 生产制程设备解决方案,迎接 AI 芯
片面板级封装的快速成长商机,我们积极整合供应链伙伴,
在制程、设备、材料使用上积极布局,并在我们厂内建置试
验线,为客户在量产前进行验证。面板级封装将是下一代封
装的新势头, Manz 从 300mm 到 700mm 的 RDL 生产制造设
备拥有丰富的经验,从我们技术核心延伸实施到不同封装和
基板结构,确保了客户在先进封装制程上的灵活性。”
应用材料公司推出 MAX OLED 解决方案,这是一
项拥有专利的 OLED 像素结构和突破性显示屏制造技术,
旨在将高端智能手机上前沿的 OLED 显示屏应用于平板
电脑、个人电脑乃至电视机。
OLED 是全球主要智能手机制造商的首选显示技术,
因为它能实现出众的显示质量、轻巧灵活的机身外形以
及经久的产品品质。然而,迄今为止,事实证明将 OLED
显示屏生产规模扩大到用于制造平板电脑、个人电脑和电
视机的更大玻璃面板上颇具挑战性。
MAX OLED 解决方案让扩增 OLED 制造尺寸变得简
单易行,即从第 6 代玻璃基板(2.78 平方米)轻松扩增到
第 8 代玻璃基板(5.5 平方米),后者的尺寸大出前者两
倍左右,甚至可扩增到更大尺寸玻璃基板。此外,MAX
OLED 还采用了全新的 OLED 材料沉积方式,能够提
将OLED显示屏引入电脑和电视机
高像素亮度和分辨率、降低显示屏能耗,并延长显示屏寿
命。
MAX OLED 解决方案引起了客户的浓厚兴趣,并有
多家主要显示屏制造商一再下单订购。不仅如此,应用材
料公司还为全球领先的 OLED 和 QD-OLED 面板制造商
之一——三星显示提供了 MAX OLED 解决方案。该公司
将引进一套 Alpha 系统来评估这项新技术。
这套集成系统整合了能大规模量产优质 OLED 显示
屏所需的 OLED 沉积和封装技术。OLED 显示屏制造难度
大,原因在于用来发射红色、绿色和蓝色光的材料十分脆
弱,一旦暴露在空气或水气中就会损坏。应用材料公司的
MAX OLED 解决方案是一项突破性技术,它运用专门设
计的无掩膜工艺单独沉积和封装每个像素。这种方法精确
且快速,并能良好地保持精细 OLED 材料的纯度。
应用材料公司的专有解决方案运用选择性沉
积来实现精准的像素放置精度,单位面积 OLED
材料量较早前技术可高出一倍以上。该技术最多可将
OLED 显示亮度和分辨率分别提升 3 倍和 2.5 倍,达到每
平方英寸约 2000 像素。这一解决方案还能让显示屏功耗
降低 30% 以上,并使显示屏寿命延长多达 5 倍。
全新OLED像素结构、制造工艺和集成材料解决方案
MAX 解决方案不只是设备而已 :它首先用拥有专利
行业聚焦
10 2024年 12月/2025年 1月 半导体芯科技 www.siscmag.com
新加坡耐晶科技推出专为湿法蚀刻与清洗应用而设
计的最新多腔室设备 SERENO。
SERENO 具有卓越的性能与高度的灵活性——配备
了集成计量系统,用于精确控制晶圆厚度和粗糙度 ;内部
化学供应系统支持多种工艺化学品,并具备集成混合、配
比和化学分析能力,确保在晶圆拥有广泛应用性与最佳适
应性。
SERENO 占地仅 12 平方米,每小时可高效处理 200
片晶圆。该平台专为前端(FEOL)和后端(BEOL)应用设计,
旨在满足半导体行业对更高性能、更高精度及更高成本效
益的需求,是未来半导体制造的理想选择。
高性能与紧凑设计的完美结合
SERENO 在晶圆处理和工艺控制方面具备高度灵活
性,支持 6 英寸、8 英寸和 12 英寸等多种晶圆尺寸。其
多功能处理系统能够高效处理从低于 100 微米的超薄晶圆
到厚度超过 2 毫米的键合晶圆。高性能的工艺腔室结合创
新的化学品分配和扫描控制,提供了最大的工艺自由度,
确保晶圆处理的卓越表现。
耐晶科技首席营销官 Christian Kleindienst 表示:
\"SERENO 在紧凑的空间实现了高性能的晶圆湿法蚀刻与
清洗,同时也充分发挥了单片晶圆技术的灵活性,不仅满
足了客户对高效单片晶圆解决方案的需求,还有效降低了
企业运营成本,是替代传统批量设备的理想之选。\"
SERENO 主要特性
• 高产能 :每小时处理高达 200 片晶圆。
• 占地面积 :仅 12 平方米。
• 先进的工艺控制 :定制化晶圆扫描与精确液体分配。
• 集成计量 :内置厚度与粗糙度计量,提供实时反馈,
耐晶科技推出SERENO设备
确保工艺一致性与质量。
• 高性能腔室 :行业领先的液体流量与排气性能,确保
无交叉污染。
• 多功能化学品供应 :内部储存可支持多达三种工艺化
学品,配有双 90 升储罐,确保工艺连续性。
• 灵活的晶圆处理 :支持 6 英寸、8 英寸和 12 英寸晶圆,
包括超薄和易脆称底,并具备多晶圆尺寸的桥接工具
功能。
SERENO 应用领域
• 表面清洗 :颗粒去除、聚合物去除、残留物去除、背
面 / 倒角清洗、晶圆清洗
• 薄膜与金属蚀刻 :背面 / 倒角薄膜蚀刻 ( 氮化硅 | 二氧
化硅 | 多晶硅 )、金属蚀刻 ( 钛 | 镍 | 银 | 金 | 铝 | 钨 | 铜等 )、
底部金属层蚀刻 ( 银 | 镍 | 钛等 )
• 衬底蚀刻 :减薄、应力消除、表面调理、Via 显露
• 支持的衬底 :硅、碳化硅、氮化镓、砷化镓、氧化铝、
氧化锌
的制造工艺构建出专有像素结构,从而造就出色的 OLED
像素和显示屏。这一制造体系将多个关键制造模块整合到
单一高真空系统中,以保护精细的 OLED 材料免受环境
侵袭,并减少影响良率的颗粒物。MAX OLED 系统集成
了显示屏玻璃搬运、多个 OLED 沉积步骤以及 OLED 封
装。应用材料公司已经在大面积 LCD 屏幕的制造中验证
了 MAX OLED 使用的多种核心技术,这些 LCD 屏幕的
面板尺寸最大达到第 10.5 代(9.9 平方米)规格。
MAX OLED 解决方案可以降低 OLED 显示屏制造厂
的能耗,大大减少 OLED 材料的用量,而且无需使用掩
膜清洁化学品,因而能够减轻显示屏制造对环境的影响。
随着 MAX OLED 解决方案的推出,应用材料公司在
服务于 OLED 显示屏制造领域的潜在市场有望扩大一倍
以上。
行业聚焦
www.siscmag.com 半导体芯科技 2024年 12月/2025年 1月 11
Kioxia 致力于半导体存储器的研发,这对于人工智能
的进步和社会数字化转型至关重要。除了其最先进的 3D
闪存技术 BiCS FLASH ™ 之外,Kioxia 在新兴存储器解
决方案的研究方面也卓有成效。公司不断努力,以创新的
存储器产品满足未来计算和存储系统的需求。
现有的计算系统利用 DRAM(一种主要的存储器,
使 CPU 能够快速处理数据)以及闪存来存储海量数据。
Kioxia 正引领存储级存储器 (SCM) 的研发,SCM 是一种
位于半导体存储器层级结构中 DRAM 和闪存之间的存储
器解决方案,旨在以比 DRAM 更大的容量和比闪存更高
的速度处理数据。
Kioxia 于 2024 年 12 月 7-11 日在美国旧金山举行的
IEDM 2024 会议上公布其新兴存储器技术,展示其面向
AI、计算和存储系统的创新应用。
氧化物半导体通道晶体管 DRAM (OCTRAM)
该技术由南亚科技和 Kioxia Corporation 联合开发。
双方开发了一种垂直晶体管,通过改进制造工艺增强了电
路集成度。同时,通过利用氧化物半导体的特性提升晶体
管性能,实现了极低的电流泄漏。这有望降低各种应用的
功耗,包括 AI、后 5G 通信系统以及物联网产品。
高容量交叉点MRAM技术
该技术由 SK 海力士和 Kioxia Corporation 联合开发。
通过该技术,双方结合适用于大容量的选择器与磁性隧道
结的单元技术,并应用交叉点型阵列的精细加工技术,在
Kioxia公布其新兴存储器技术
存储器层次结构(图:美国商业资讯)
MRAM 最小的单元半间距 20.5 纳米规模上实现了单元
读 / 写操作。随着存储单元小型化,存储器可靠性往往会
下降。双方利用选择器瞬态响应的新读出方法,并降低读
取电路的寄生电容,开发出一种潜在的解决方案。该技术
在 AI 和大数据处理方面具有实际应用价值。
采用水平单元堆叠结构的下一代 3D 存储器技术
Kioxia 开发了一种新的 3D 结构来提高可靠性并防止
NAND 型单元性能下降。在传统结构中,堆叠层数增加
通常会导致性能下降。与垂直排列 NAND 型单元的传统
结构相比,新结构通过水平堆叠 NAND 型单元。这种结
构允许以低成本实现高比特密度和高可靠性的 3D 闪存。
玻芯成(重庆)半导体科技有限公司近日举行国内首条
玻璃基半导体特色工艺生产线核心设备搬入仪式,顺利搬入
涪陵高新区(综保区)电子信息标准化厂房,开始安装调试
设备并实现单台设备投产,全产线预计 2025 年上半年投产。
玻芯成量产线一期总投资 1 亿元,2024 年年底前完
成设备安装调试,实现玻璃基半导体产品全流程生产,达
产后年产值 3 亿元。
玻芯成专注于玻璃基半导体产品的研发制造,产品广
国内首条玻璃基半导体特殊工艺生产线即将投产
泛应用于人工智能、工业控制、汽车电子、电力能源等多
个领域。
玻芯成量产线一期生产线设备,汇聚了国内外先进半
导体制造设备,涵盖激光诱导设备、精密刻蚀设备、高精
度图形化设备、先进电化学沉积设备等。随着国内外先进
半导体制造设备的成功入驻,玻芯成全新生产线正紧锣密
鼓地推进量产,旨在为全球客户带来高精尖的玻璃基特殊
工艺半导体产品,为半导体行业的创新发展注入强劲动力。
COVER STORY
封面故事
密 封
12 2024年 12月/2025年 1月 半导体芯科技 www.siscmag.com
历史学家可能会把 21 世纪 20 年代称为“新咆哮的
2020 年代”(new Roaring 20s),即人工智能(AI)
的十年。当世界意识到生成式人工智能前景时,人
工智能的热潮便开始了。随着 2024 年有望成为多种人工
智能应用的普及之年,人工智能的发展势头处于不断上
升之中。麦肯锡的研究表明,这一趋势将持续下去,到
2030 年,生成式人工智能可使高达 70% 的商业活动实现
自动化,为全球经济增加数万亿美元的价值。
半导体产业有望在六年内翻一番,达到 1万亿美元的规模,这给制造商带来了扩大规模的压力。作为
全氟化橡胶(FFKM)Chemraz®
密封解决方案的全球领导者之一,Greene Tweed 公司深知,在像
半导体制造这样复杂且极具挑战性的行业中,密封件必须是最优的。我们来了解一下,为了确保芯片
制造商在这个前所未有的增长时代拥有实现蓬勃发展所需的各项条件,Greene Tweed是怎样提升自
身竞争实力的。
用于先进半导体制造的精密密封技术:
Greene Tweed 引领潮流
这些人工智能应用及其永无止尽的数据需求在很
大程度上依赖半导体进行处理和存储。麦肯锡预计,到
2030 年,全球半导体市场规模将翻一番,达到 1 万亿美元,
对于需要扩大规模以满足市场需求的制造商来说,这就增
加了风险。最重要的是,人工智能的发展也在推动他们向
功能更强大、尺寸更小的处理器节点迈进,以便能在单颗
芯片上安装更多日益复杂的晶体管,从而实现更精细复杂
的设计。
作为FFKM Chemraz®密封解决方案的全球领导者,Greene Tweed深知,在像半导体制造这样复杂且极具挑
战性的行业中,密封件必须是最优的。
COVER STORY
封面故事
密 封
www.siscmag.com 半导体芯科技 2024年 12月/2025年 1月 13
这种复杂性意味着必须采用更先进的制造工艺,并满
足更为苛刻的条件。制造过程经常必须面对极高的温度、
侵蚀性化学品和恶劣的等离子体环境,这对材料和设备中
的部件构成了极大的风险。整个操作过程都在洁净室中进
行,而在此环境中,即使是微小的灰尘颗粒或轻微的化学
污染物也会造成缺陷,并损害在制芯片的性能和可靠性。
打造强韧供应链,避免供应中断
在令人眼花缭乱的建造新晶圆厂的竞赛中,半导体制
造商不仅要探索芯片设计、材料和架构方面的进步,还需
要获得一些虽不起眼、但却不可或缺的零组件的稳定供应,
如 Greene Tweed 的 Chemraz® 密封件,这一点对于制造新
的先进芯片至关重要。
Greene Tweed 是全氟橡胶(FFKM)Chemraz® 密封
解决方案的全球领导者,它在工厂设备、多款新产品和制
造自动化技术方面的自行投资达数百万美元,因而在这一
领域的增长中居领先地位。
Greene Tweed 公司首席商务官 Shawn McCloskey 指
出 :“多家著名的芯片制造商都依赖 Chemraz® 密封件来制
造自己功能最为强大的芯片,对于其充满挑战性的制造环
境而言,次优的密封件并不足以达到理想要求。我们致力
于为客户提供支持,确保他们拥有所需的密封解决方案,
从而满足市场对半导体持续飙升的需求。”
随 着 芯 片 制 造 商 转 向 要 求 更 为 严 苛 的 工 艺,
Chemraz® 密封解决方案的重要性也在不断提高。Greene
Tweed 负责 Chemraz® 产品的总经理 Thyag Sadasiwan 解
释了其中的原因 :“我们的 Chemraz®
O 型圈和密封解决方
案可以防止污染,同时能够耐受侵蚀性化学品和极高的温
度,故而满足现代芯片制备的关键需求。因此,这些密封
解决方案在世界上要求最苛刻的半导体制造应用中表现出
色,且经久耐用。”
使 Chemraz® 密封件变得不可或缺的另一个因素
是,在更先进的制造应用中,越来越多地需要超净加工。
Greene Tweed 是美国首家采用洁净室制造工艺的密封解决
方案公司,完全了解超净操作在半导体行业中的重要性,
并确保我们的密封件能够控制可能造成污染的微粒漂移,
同时增加正常运行时间并提高晶圆良率。
迎接挑战
通过扩大规模,以在预期的市场繁荣期为芯片制造商
提供所需的解决方案,这并非易事。但是,近期整个行业
的 FFKM 材料供应出现紧张,恰逢全球芯片需求激增,这
让我们对如何满足急剧增长的需求,并最大限度地减轻可
能出现的供应中断所造成的影响,获得了颇有价值的见解。
Greene Tweed 根据这些见解推出了六项重大举措,以
确保在客户的要求随着半导体市场需求的不断增长而发展
变化时,我们能够及时提供高性能的 Chemraz® 密封解决
方案。
1. 在韩国新建制造工厂
Greene Tweed 正在投资扩大其面向全球半导体市场
的产量和生产能力。在韩国忠清北道清州市奥昌(Ochang,
Cheongju-si, Chungcheongbukdo)新建的占地 70,000 平方
英尺(6,500 平方米)的工厂就是一个很好的例子。这家
工厂具备端到端制造工艺能力 - 从最初的原材料挤压到成
品入库。我们已经开始生产样品,并将在 2024 年晚些时
候过渡到商业生产。
该工厂拥有洁净室空间,可以保持对芯片制备支持
组件至关重要的纯净环境,最初将主要生产 Greene Tweed
的旗舰产品 Chemraz® 系列,该产品系列以其在要求苛刻
的半导体应用中所具备的卓越性能而著称。这不仅提高了
全球产能,还彰显了 Greene Tweed 公司为韩国及周边地
区客户提供支持的承诺,其中包括著名的半导体领导企业。
这将有助于改善交货时间,与主要客户建立更强有力的共
同开发战略,并加强业务连续性计划,从而打造更健康的
全球供应链。
这座顶尖的工厂采用了先进的制造技术、太阳能电池
板和污水回收设施,以减轻对环境的不良影响。
此外,新工厂的设计还考虑到了可扩展性,并为今后
的业务增长提供了充足的用地空间,使我们能够随着市场
COVER STORY
封面故事
密 封
14 2024年 12月/2025年 1月 半导体芯科技 www.siscmag.com
需求的增加扩大生产能力。我们将分阶段推出新的生产线。
未来几年,我们将提高产能,增添自动化设备,以改进生
产流程,缩短交货时间。下一阶段,我们将重点关注后续
的扩建,包括增建一个洁净室,以及整合尖端制造技术和
工艺。
这一长期战略确保我们在 2020 年代剩余的几年乃至
此后更长的时间里,能够随着半导体需求的增长,对客户
不断变化的需求保持敏捷的反应速度。
2. 专门针对恶劣条件和规模扩展而精心设计创新产品
作为全球领先的 FFKM 密封件供应商,Greene Tweed
深知 Chemraz® 密封件对于最先进的半导体制备工艺至关
重要。为了满足客户不断增长的需求,Greene Tweed 推
出了两款性能卓越且富有价格竞争力的新产品 - Chemraz®
G38 和 G57。
Thyag Sadasiwan 表示 :“我们坚定不移地关注产品性
能和供应链抗冲击性,正在开发多款新型 Chemraz® 产品,
以满足各种等离子体应用不断变化的需求,同时具有极强
的价格竞争力和世界一流的交付周期。这些新增产品表明,
我们致力于不断创新和响应市场需求,从而确保我们的客
户能够获得最佳的解决方案。”
Chemraz®
G57 专为满足侵蚀性干式等离子体系统的
要求而定制设计,具有更强的抗电浆腐蚀性能,可以最大
限度地减少污染,从而在静态和动态氧化物蚀刻晶片加
工应用中减少故障停机时间,并提高晶片加工良率。它具
有出色的耐化学性,可承受高达 300℃(572°F)的温度,
是端点窗口(endpoint windows)、窗用密封件、缝阀密封
件、钟罩密封件、隔离阀密封件、腔室密封件、阀门密封
件、盖子密封件、KF 接头密封件和气体入口密封件等多
种应用的理想选择。
Chemraz®
G38 是为高密度等离子体系统开发的,在
这种系统中,密封可靠性和污染最少化是极其重要的。它
具有出色的化学兼容性,能够耐受各种腐蚀性化学品。
Chemraz® G38 推荐用于粘合门密封件和腔室密封件,在
沉积、远程等离子体清洗、氧化、扩散、离子注入、植入
退火和快速热处理等应用中具有卓越的价值。
这一创新之旅仍在继续,另一种 Chemraz® 密封解决
方案将在未来 6 ~ 12 个月内推出。此外,我们现有的密
封解决方案,包括 Chemraz® 和 Fusion®
F07 氟橡胶,正在
被客户广泛采用,用以满足世界各地正在建造的半导体制
造和 subfab 设施日益增长的需求。
3. 新一代制造业加速增长
Greene Tweed 正投入大量资源实现制造流程的自动
化,以扩大产能,并快速满足半导体客户随着自身发展而
不断增长的需求。
通过利用机器人技术和数字化解决方案对核心制造
流程进行补充,Greene Tweed 为生产车间员工提供了支持,
COVER STORY
封面故事
密 封
www.siscmag.com 半导体芯科技 2024年 12月/2025年 1月 15
以消除流程瓶颈,并缩短交付周期。最大的投资用于那些
影响较大的工艺步骤,如压缩成型、检测和包装,以最大
限度地提高效率和生产率。
对于压缩成型,操作人员目前负责在每个周期之间移
动和维护模具。Greene Tweed 已开发出一种自动化解决方
案,该方案使用 6 轴机器人来移动模具,这样,操作人员
只需专注于价值链上的增值作业即可,并为更多的模压机
提供维护保养服务。
同样,在目前的包装操作中,操作人员负责给零部件
逐个装袋和贴标签,这么做既缓慢又繁琐。我们开发了一
种自动化解决方案,此方案利用多个 SCARA 机械手和一
台自动装袋机来提高产量,并实现装袋质量的标准化。
此外,Greene Tweed 还建立和使用了数字孪生系统
(digital twins),以主动评估新的创新技术,以及如何以最
佳方式将其引入现有的制造设施。这些技术和专门的技术
资源正在彻底改变生产线,令其更具适应性,并使我们能
够迅速扩大规模,以满足客户的需求,同时又不失自身的
卓越。
4. 有保障的资源
在半导体这样一个周期性的市场当中,扩大生产能力
只是多方位策略的一个方面,目的是为市场回暖做好准备。
为了支持世界级的交付周期,Greene Tweed 已从多家供应
商那里获得了可靠的原材料供应,以全面满足未来两年的
预期增长需求。
在四家工厂均衡运作的情况下,Greene Tweed 公司
大幅增加了员工人数,以稳定生产流程,并在韩国、中国
台湾和美国塞尔玛的工厂增添了多台新设备,扩大了制造
能力。采取这些战略举措的目的不仅是要跟上增长的步伐,
还要提高生产能力,尽量减少流程中的延误,并在交货时
间上明显胜人一筹。
5. 强化供应链抗冲击能力
随着 Greene Tweed 努力确保原材料的稳定供应,该
公司正积极主动地减少对单一供应商或市场的依赖。原因
如下 :在大流行病导致半导体需求激增的那段时间里,全
行业出现了 FFKM 供应紧张的情况,这让我们认识到供
应链多样化和强化其抗冲击能力的重要性。Greene Tweed
之所以在不同地区精心选择多家原材料供货源,原因就在
于此。
Greene Tweed 积极主动地识别和降低从地缘政治到监
管以及气候变化事件的潜在风险,藉此建立了全面的供应
链弹性和业务连续性计划,并与客户共享,以确保透明度。
通过确保原材料的安全和建立供应链的弹性,Greene
Tweed 可以帮助其客户减少故障停机时间,并满足极其紧凑
的生产计划,为了在预期的市场繁荣期适应快速增长的需求,
这些是必不可少的。这一点在半导体行业至关紧要,因为在
该行业中,错过产品上市窗口期会导致收入大幅缩水。
6. 为工具 OEM 的新设计做好准备
主要的半导体资本设备制造商正在进行工具升级,以
转向更小的处理器节点和由此产生的复杂工艺。无论是光
刻技术还是蚀刻技术的最新创新,都对晶圆设备密封件提
出了更高的要求,Greene Tweed 正在采取积极措施,以跟
上这种增长的步伐。Greene Tweed 获得专利的 LF10 涂层使
设备制造商能够满足下一代半导体节点的严格性能要求。
与此同时,我们的橡胶黏合缝阀、盖子密封件、电镀
溶液密封件、真空管路溶液密封件、低温密封件(cryogenic
seals)和许多其他密封解决方案继续得到设备制造商的广
泛采用。最近,我们的 Xyfluor® 材料在要求温度低于 –40℃
的静电吸盘溶液中通过了品质认证。
为增长做好准备
制造尖端半导体芯片是一项极具挑战性且成本密集
型的过程,它需要依赖于诸如 Chemraz® 密封解决方案等
小型但不可或缺的部件,才能取得成功。
Greene Tweed 与客户和合作伙伴开展了密切的合作,
随时准备满足芯片制造商对其 Chemraz® 密封解决方案不
断增长的需求,从而确保他们在 2020 年代剩余的几年乃
至此后更长时间里的业务可扩展性和可持续性。
在四家工厂均衡运作的情况下,
Greene Tweed大幅增加了员工人
数,以稳定生产流程,并在韩国、
中国台湾和美国塞尔玛的工厂增添
了多台新设备,扩大了制造能力。
采取这些战略举措的目的不仅是要
跟上增长的步伐,还要提高生产能
力,尽量减少流程中的延误,并在
交货时间上明显胜人一筹。
TECHNOLOGY 掩 模
技术
16 2024年 12月/2025年 1月 半导体芯科技 www.siscmag.com
如果您最近参加过光刻或光掩模技术会议,您可能已经注意到一个趋势:出现许多关于曲线掩模、曲线
OPC、曲线ILT、曲线掩模过程校正(MPC, mask process correction)和曲线掩模格式的论文和演
讲。光掩模行业正在经历从曼哈顿掩模到曲线掩模的根本转变。
作者:LEO PANG, D2S公司
那么,这种转变的动机是什么呢?经过几十年的曼哈
顿掩模设计,转向曲线有什么好处呢?首先,让我
们看看为向曲线掩模版的转变奠定基础的一些技术
背景。
ILT开始转变
曲线掩模始于逆向光刻技术(ILT, inverse
lithography technology)。ILT 将掩模优化视为一
个逆向的问题,从所需的晶圆目标开始,并计
算将产生所需晶圆目标的掩模。晶圆扫描仪具
有带宽受限的光学元件,无法产生 90 度的角,
因此任何 90 度的角(尤其是具有无限频率的角
尖)都会被扫描仪光学元件过滤掉,因此不包
括在 ILT 解决方案中。因此,ILT 掩模图案自
然是曲线的。
在 2006 年 SPIE 高 级 光 刻 会 议 上,Dan
Abrams 和我发表了来自 Luminescent 公司的第
为什么掩模版世界正在转向曲线(一)
一 篇 ILT 论 文“Fast Inverse Lithography Technology”[1]。
Luminescent 及其合作伙伴已经发表了大量论文,这些论
文表明,理想的全曲线 ILT 掩模图案实现了最大的工艺窗
图1:由第一个ILT解决方案创建的掩模图案(左)。相同模式的“曼哈顿化”版本(中),没有SRAF的曼哈顿化ILT掩模模式(右)[1]。来源:Luminescent/Synopsys
图2:VSB掩模版写入器的写入时间与创建掩模版形状所需的拍摄次数成正比。多束掩模写入
器与形状无关,无论掩模形状如何,都具有恒定的写入时间[11]。来源:NuFlare。
掩 模 TECHNOLOGY
技术
www.siscmag.com 半导体芯科技 2024年 12月/2025年 1月 17
口 [2-9]。
图 1 在左侧显示了第一个 ILT 解
决方案创建的掩模图案。中间是适
用于可变形状光束(VSB,variable
shaped beam)掩模写入器的“曼哈
顿化”版本,该版本只能写入直线形
状。图 1 的右侧是没有亚分辨率辅助
功 能(SRAF,sub-resolution assistant
feature)的曼哈顿化 ILT 掩模图案。
编写曲线掩模版形状或其“曼哈
顿化”版本呈现出非常真实的障碍,
因为表示曲线形状所需的拍摄次数高
得令人望而却步。由于 VSB 掩模写
入时间与拍摄次数成正比,因此使用
VSB 掩模写入器写入曲线掩模是不切
实际的。
多束掩模版写入器、GPU计算改变
了曲线掩模版的游戏规则
多束掩模写入器的出现消除了采
用曲线掩模的掩模写入时间障碍。多
束掩模写入器具有数十万束光束的阵
列,每个光束都可以打开、关闭或以
部分剂量打开。
由于写入时间仅与面积成正比,
因此多束掩模写入器可以写入曲线掩
模,而不会对写入时间造成任何影响,
如图 2 所示。
ILT 最初在生产中的使用主要是
在有限的“热点”区域。原因是存在
另一个障碍 :计算全芯片 ILT 解决方
案的难度。一个困难是运行时间,但
可以使用 GPU 加速计算来处理运行
时间。将芯片分区馈送到 GPU 加速
计算系统中,可以加快每个分区的处
理速度。但是,当分区重新拼接在一
起时,会沿分区边界处产生错误。这
些拼接错误和解决这些错误所需的重
新计算仍然是令人心烦意乱的问题
(图 3)。
为了避免解决这些拼接错误所
需的耗时的递归校正过程,2019 年,
D2S 公司提出了 [12] 一种新的硬件 / 软
件协同设计的解决方案,该解决方案
图3:传统的全芯片方法对芯片进行分区,以便在CPU/GPU对上进行计算。当分区重新拼接在一起时,会
出现问题[12]。来源:D2S
图4:一种创新的方法模拟一个巨大的CPU/GPU对,该CPU/GPU对可以一次计算整个芯片,从而消除了拼
接问题[12]。来源:D2S
图5: 2023年eBeam Initiative杰出人士调查的结果显示,87%的受访者已准备好使用至少有限数量的曲线
掩模。33%的人可以处理所需数量的掩模[14]。来源:eBeam Initiative。
能够一次处理整个芯片 :一个计算平
台,其表现为单个巨大的 GPU/CPU
对,可以无缝优化全芯片数据,无需
分区(图 4)。
TECHNOLOGY 掩 模
技术
18 2024年 12月/2025年 1月 半导体芯科技 www.siscmag.com
如今,随着这些障碍的消除,光
掩模行业对其创建曲线掩模的能力非
常有信心。2023 年,行业组织 eBeam
Initiative 每年对行业领导者进行的一
项调查中,就询问了曲线掩模的大批
量生产问题。
图 5 是 2023 年 eBeam Initiative
杰出人士调查的结果,显示 87% 的
受访者已准备好使用至少有限数量的
曲线掩模。33% 的人表示可以处理所
需数量的掩模 [14]。
曲线掩模的动机:改进每个节点的
工艺窗口
使用曲线掩模图案的优点已经
得到了验证,因此,促使光掩模行
业为这些变化做准备。从 2006 年的
第一篇 ILT 论文开始,大量研究表
明,曲线掩模可以改善所有技术节点
和光刻技术的工艺窗口,从 193 干
式,193 浸入,直到 EUV。2009 年,
Luminescent 与三星 [9] 进行了一项此
类研究。如图 6 所示,触点阵列的曲
线掩模图案以不同的间距生成。
对于每个间距,都有一个无约束
曲线的 ILT 掩模模式,然后是简化的
ILT 掩模模式,一直到非常接近 OPC
的水平和垂直缩放条。然后用剂量和
焦点矩阵来光刻出晶圆,并测量 CD。
当我们查看工艺窗口时,您可以看到
对于每个间距,无约束曲线的掩模版
图案始终提供最大的焦深。
最近在 2020 年, 来 自 美 光 的
Ezequiel Russell[13] 的研究表明,对于
图 7 中所示的三个触点,使用全曲线
ILT 可以将焦深提高 85%。
2019 年,我和美光展示了在工
艺窗口上对 OPC 的 100% 的工艺改
进,该模式由上述全芯片无拼接 ILT
解决方案创建,并使用多束掩模写入
器写出。(图 8)[12]
曲线掩模支持更小的间距、更简单
的掩模规则
使用曲线掩模图案的一些其他好
图6:使用不同级别的曲线对接触阵列的研究。最佳焦深是通过无约束曲线的ILT实现的[9]。资料来源:三星。
图7:与标准OPC相比,曲线ILT提供了更大的85%的焦深增加[13]。来源:美光。
图8:与使用多束掩模写入器的标准OPC相比,曲线ILT在随机接触层上的工艺窗口上实现了100%的增
加。来源:D2S和美光。
处与掩模规则有关。首先,曲线掩模
版形状可以实现更小的间距。图 9 显
示了一个表示一部分触点数组的简单
示例。
最小的角到角掩模规则限制了您
掩 模 TECHNOLOGY
技术
www.siscmag.com 半导体芯科技 2024年 12月/2025年 1月 19
扩展阅读/参考资料
1. Abrams, Daniel S., and Linyong Pang. “Fast inverse lithography
technology.” In Optical Micro lithography XIX, vol. 6154, p.
61541J. International Society for Optics and Photonics, 2006.
2. Hung, C. Y., et al, “Pushing the Lithography Limit: Applying
Inverse Lithography Technology (ILT) at 65nm generation”, Proc.
SPIE 6154, (2006)
3. Lin, B., et al, “Inverse Lithography Technology at Chip Scale, 31st
International Symposium of Micro lithography”, Proc. of SPIE
6154, (2006)
4. Pang, L., et. al, “Laser and e-beam mask-to-silicon with inverse
lithography technology (ILT) “, Proc. SPIE 5992, 599221 (2005)
5. Ho, J., et. al, “Real-world impact of inverse lithography
technology”, Proc. SPIE 5992, 59921Z (2005)
6. Balasinski, A., et. al, “Inverse lithography technology: verification
of SRAM cell pattern”, Proc. SPIE 5992, 599230 (2005)
7. Hung, C., et. al, “First 65nm tape-out using inverse lithography
technology (ILT) “, Proc. SPIE 5992, 59921U (2005)
8. Martin, P., et. al, “Manu fact ur ability study of masks created by
inverse lithography technology (ILT) “, Proc. SPIE 5992, 599235
(2005)
9. Kim, Byung-Gook, Sung Soo Suh, Byung-Sung Kim, Sang-Gyun
Woo, Han-Ku Cho, Vikram Tolani, Grace Dai et al. “Trade-off
between inverse lithography mask complexity and lithographic
performance.” In Photomask and Next-Generation Lithography
Mask Technology XVI, vol. 7379, p. 73791M. International Society
for Optics and Photonics, 2009.
10. Klein, Christof, and Elmar Platzgummer. “MBMW- 101: World’s
1st high-throughput multi-beam mask writer.” In Photomask
Technology 2016, vol. 9985, p. 998505. International Society for
Optics and Photonics, 2016.
11. Hiroshi Matsumoto et al., “Multi-beam mask writer MBM-1000 and
its application field.” In Photomask Japan 2016: XXIII Symposium
on Photomask and Next-Generation Lithography Mask Technology,
vol. 9984, p. 998405. International Society for Optics and Photonics,
2016.
12. Pang, Linyong, Ezequiel V. Russell, Bill Baggenstoss, Michael
Lee, Jennefir Digaum, Ming-Chuan Yang, P. Jeffrey Ungar et al.
“Study of mask and wafer co-design that utilizes a new extreme
SIMD approach to computing in memory manufacturing: full-chip
curvilinear ILT in a day.” In Photomask Technology 2019, vol.
11148, p.111480U. International Society for Optics and Photonics,
2019.
13. E. Russell, “ILT and curvilinear mask designs for advanced memory
designs,” presented at SPIE eBeam lunch (25 February 2020). http://
www.ebeam.org
14. 2023 eBeam Initiative Perceptions Survey Results [September 26,
2023], http://www.ebeam.org
15. Choi, Yohan, Aki Fujimura, and Abhishek Shendre. “Curvilinear
masks: an overview.” Photomask Technology 2021 11855 (2021):
157-172.
图9:通过从直线掩模特征移动到曲线掩模特征,间距可以收缩大约14%。 图10:曲线掩模设计规则的简化概念:使用最小曲率、最小CD、最小空间和最小
面积[15]。来源:D2S
可以实现触点阵列的紧密程度。只需将触点的目标掩模形
状从方形更改为圆形,使用相同的掩模规则,间距就可以
减少 14%。简而言之,曲线触点可以比曼哈特触点设计得
更紧密。
曲线掩模功能也为大大简化掩模规则提供了机会,而
这只需要最小曲率、最小 CD、最小空间和最小面积 [15]。
图 10 使用一个直观的示例来说明这一点。
在图 10 中,绿球的大小代表最小的 CD。如果球在
给定图案内沿着轮廓滚动,并且没有卡住,则意味着它满
足最小 CD 规则。如果它卡在某个地方,如红球所示,则
表示该图案违反了最小 CD 规则。
同样,您可以将球滚动到图案之外以确定最小间距,
如图 10 中蓝球所示。与其他模式的任何重叠(如红球所示)
都将产生间距冲突。当然,在实践中,为 GPU 量身定制
的快速、基于像素的算法将用来执行这一概念。
注 :本文基于作者在 SPIE Advanced Lithography 2024
会议上发表的一篇论文。
TECHNOLOGY 热控制
技术
20 2024年 12月/2025年 1月 半导体芯科技 www.siscmag.com
成功抑或失败?是否选择具有合适隔热性能的软管会起到至关重要的作用。
作者:Margaret Brennan, 世伟洛克公司应用解决方案部门首席工程主管
由于人工智能和其他技术进步需要更精细复杂的芯
片,对高质量半导体晶圆的需求随之呈指数级增长。
芯片的设计制作过程从未像现在这样复杂,制造工
厂、原始设备制造商和价值链的所有环节都在寻求新的方
法,以最大限度地提高效率和盈利能力。
热环路的设计旨在使沉积室在整个制造过程中保持
最佳的温度,从而最大限度地提高芯片良率。超低温常常
用于调节腔体内的精确温度。面临的挑战是,这些温度(通
常会低至- 40℃)给热环路的每个部件都带来了额外的
压力。这一关键环路由冷水机(chiller)、水泵、热交换器
先进的热控制技术
可以提高晶圆制造良率
以及保持它们连接的所有部件组成。该系统内的任何一个
部件出现故障,都会降低晶圆厂的运行效率和产量。
在半导体芯片生产领域,精度和清洁度也是相互交叉
的优先事项。沉积室内部的温度必须保持在优化水平,以
确保制程稳定性。同时,在精细的制备过程中,必须保持
腔室没有任何潜在的污染物,以最大限度地提高芯片的生
产量。
由于创建和维护理想的制备环境需要投入大量的工
程和设计力量,因此,对于支持芯片生产流程的一些更“基
本”部件的选择,其重要性很容易被忽视。我们来看一下
图 1:典型半导体制造作业的下部区域放置了工厂热环路的主要部件,包括有隔热保护的冷水机软管(12)、供气管道(13)、专用气柜(14)以及冷水机和热
交换器(15)。
热控制 TECHNOLOGY
技术
www.siscmag.com 半导体芯科技 2024年 12月/2025年 1月 21
在整个制造设施中大量使用的工业软管。在半导
体制造过程中,软管起着重要的作用,因为它们通常用
于帮助冷水机调节生产过程所需要的环境。
正确使用软管和其他系统部件意味着,必需注意会对
部件在热环路中的工作状况产生影响的三个关键方面,包
括 :
选择正确的部件
找到正确的隔热平衡
采用合适的安装和性能测试
在为半导体晶圆厂构建热环路之前,了
解最佳温度控制的重要性是很关键的。如
果供应商能在这个关键方面为工程师
提供指导,并支持热环路结构的
设计和实施,将有助于制造厂
商优化热管理,并实现高品
质芯片产量的最大化。
如何保持流体温度的稳定
要生产出最高质量的半导体芯
片,将沉积室中的温度保持在精确的水平是必不
可少的。为了调节温度,强大的冷水机将冷却剂泵入和泵
出机器。必须对输送冷却剂的软管进行适当的隔热保护处
理,以确保在整个制造过程中流体温度保持稳定。
例如,倘若在确定冷却温度和所需隔热材料的用量时
没有考虑到流体穿过系统时产生的自然温升,就会导致腔
体内的温度波动超出容限范围。这些温度波动有可能导致
晶圆缺陷,因而会造成良率下降。
半导体制造商使用含乙二醇的水来防止结冰,因为乙
二醇能够耐受零下 40℃的低温。保持超低温需要耗费大
量的能源,因此使用有隔热保护的工业软管可以帮助制造
厂商更有效地控制温度。半导体制造商极为重视将温度控
制在精确的水平,目的在于生产出高质量的芯片,并降低
能源成本。
选择正确的部件
半导体制造过程中的温度管理至关重要,因此系统设
计人员必须指定正确的部件,以构建能够承受严酷工作条
件的热环路。每个部件(软管、阀门、配件、过滤器,等
等)都必须满足最低要求,包括应对现代的压力和流速以
及极端温度(图 1)。
冷水机软管是一个特别重要的例子,因为需要对其进
行隔热(图 2)。使用有隔热保护的软管可以提高冷水机
的效率,将温度变化保持在最低水平,减少热量传递,并
防止发生冷凝。选择具有这些特性的部件有助于提高晶圆
厂的运行效率,并减少总的故障停机时间。
虽然冷凝对大多数工业应用来说是一种威胁,但是在
晶圆厂里,它会导致工厂停产。除了滴水可能会损坏关键
设备外,不明泄漏或污水坑总是被认为是有毒性的,这就
需要进行人员撤离和疏散,直到查明介质并确定其安全为
止。这种不必要的停机时间和资源分配会妨碍工厂的芯片
生产,因此,配备具有合适隔热保护能力的软管至关重要
(图 3)。
找到正确的隔热平衡
实现合适热性能的解决方案比简单地给部件添加隔
热材料更为复杂。软管的长度越长,所需的隔热材料就越
多,成本也就会越高。因此,安装最优用量的隔热材料可
以提高系统的效率,并尽量减少不必要的成本支出。
图 2:冷水机软管应具有合适的隔热保护能力,以帮助保持所需的温度并防止
冷凝。
TECHNOLOGY 热控制
技术
22 2024年 12月/2025年 1月 半导体芯科技 www.siscmag.com
我们对一家晶圆厂的热环路系统进行了研究,以
证明具有合适隔热性能的软管可以提高效率。该工具需
要- 30℃的冷冻水才能在加工过程中实现所需的温度。
为此,上游的冷水机必须提供低于- 30℃ 的低温,以便
介质进入工具时的温度达到- 30℃。在这种情况下,工
具距离冷水机 40 英尺。
如果使用不具备隔热性能的软管将介质输送过这段
距离,那么冷水机需要提供的温度要比使用隔热软管时低
得多,因为介质在到达工具的过程中会出现冷量损失(即
热量增加)。
这会使冷水机过度工作,导致能源成本过高、维护
频率增加,还有可能缩短设备的使用寿命。使用具有隔热
性能的软管时,冷水机可以提供接近- 30℃ 的温度,因
为它不需要过度补偿在介质输送到工具的过程中损失的
冷量。在本例子中,每降低 1℃ 所耗费的成本约为每小
时 27 美分。例如,节省 44℃ 的冷却需求,每小时可节约
11.80 美元的冷却成本。虽然具有隔热保护能力的软管成
本较高,但是从它们产生的节能效果而言,这种成本的升
高是物有所值的。
正确的安装技术和性能测试
一旦设计人员和工程师选择了合适的部件来保持有
效的热管理,构建高性能系统的下一步就是安装和部署这
些部件。必须严格遵守这些规程,以确保每个系统的安装
都是一致、可重复、清洁和防潮的。
在晶圆厂里启动某个系统的安装操作之前,先回顾一
下相关的基本知识。与大多数其他工业流体系统一样,密
封性能是极其重要的。要实现无泄漏系统,技术人员必须
了解管接头和阀门的安装。如果对流程中这些重要步骤的
理解有误,则会导致关键流体系统出现故障,从而影响生
产设施的效率和效能。
系统故障会导致意外停机、减产、安全问题和盈利能
力下降。因此,在半导体制造设施中,正确地安装密封流
体系统是至关重要的。
除了正确安装以外,设计师和工程师还必须仔细考虑
如何布设冷水机管线。冷水机管线相互之间应保持足够的
距离,以免软管表面温度降到露点以下而导致出现冷凝,
甚至结冰。
软管之间的距离越近,就越有可能将周围的空气冷却
到软管中冷冻介质的温度,从而引起冷凝问题。一般来说,
隔热性能良好的软管之间至少应相隔 12 英寸(31 厘米)。
如果系统的配置无法提供这样的间隔,则可能需要在线路
上增设隔热层,以保持性能的完整性。
另外,停滞的空气也会影响冷却管线的表面温度。当
气流增加时,管线的表面温度会更趋向于环境温度。我们
以一根穿过某封闭区域(如底层地板)排布的软管为例。
在冷介质流经软管的情况下,有可能导致在外部形成冷凝
水,如前所述,冷凝水或许会滴到敏感设备上。
最后,虽然有隔热保护的软管的最小弯曲半径不会改
变,但是,把软管弄弯有可能影响隔热性能。为了尽量减
少这些影响,一般建议采用弯曲半径较大的软弯管(图 4)。
如果做不到这一点,可以考虑给软管增补隔热层。请参阅
本文后面的说明“怎样选择有隔热保护的软管”。
图 3:选择具有合适隔热性能的软管对于减轻晶圆厂生产操作中的冷凝问题至
关重要,且可能涉及到选择真空隔热金属软管,这种软管具有出色的隔热性
能,可以安全耐受极端介质温度。
热环路由以下部分构成 :
冷水机,用于冷却在设备中循环的流体
热交换器,用于实现热量传递
有隔热保护的软管组件及焊接件、泵和阀门,
它们有助于在整个循环过程中分配温控流体
监测和控制系统,用于调节流速和温度
过滤和净化系统,用于防止污染和去除杂质
热环路的主要组成部分
热控制 TECHNOLOGY
技术
www.siscmag.com 半导体芯科技 2024年 12月/2025年 1月 23
建立有效的伙伴关系
随着半导体制造业在世界经济中的地位日益重要,降
低成本的竞争也愈演愈烈。昂贵的制造工具往往难以减低
成本,而这些工具能制造出高质量的芯片,为未来的技术
创新提供动力。因此,对整个工厂的整体系统进行评估,
寻找其他侵入性较低的方法来降低生产成本,是很有意义
的。
评估低温流体输送系统是否配备了合适的软管,就能
获得意想不到的节省。确定所需隔热层的精确水平能够长
期地节约资金,并帮助系统在其使用寿命内以峰值效率运
行 - 但是,不能指望操作员、工程师和技术人员对热管理
隔热材料在
弯曲的外侧
拉伸变薄
隔热材料在弯曲的
内侧压紧
在设计和安装半导体制造冷水机应用时,最重 图 4:注意不要过度弯曲有隔热保护的软管,以免压弯软管,影响隔热性能。
要的考虑因素是选择专为该应用设计的具有隔热保
护的软管。虽然有些制造商坚持认为他们有隔热保
护的软管既可用于热流体输送,也可用于冷流体输
送,但是,隔热软管的性能往往因所采用的隔热类
型材料的不同而不同。
例如,与更适合高温流体应用的织物覆盖层隔
热软管相比,采用柔性聚烯烃热收缩套的软管在低
温应用中的性能更好。选择拥有专业知识的软管供
应商,帮助确定哪种材料在某项应用中最有效,以
避免出现性能问题。
另一个关键点是,无论使用哪种类型的隔热,
软管都必须保持柔性。如果做不到,工程师可能就
无法按最佳路径布设和安装软管。例如,软管的最
小弯曲半径不应随隔热材料的变化而变化,而且弯
曲能力也不应影响其隔热性能。
应选择能正确(且灵活地)保持输送流体的目
标温度,而且不是过于笨重的软管,例如采用低导
热性气凝胶隔热材料的软管。
最后,只有隔热材料量按需使用的隔热软管才
能为应用带来真正的效率。好的软管供应商不会采
用一刀切的方法,而是会提供不同的隔热分层选项,
以经济高效的方式满足特定应用的温度要求。在最
理想的情况下,他们会要求提供应用的参数,并使
用方程式来精确地确定具体软管需要多少隔热材
料。
的所有相关知识都了如指掌。因此,至关紧要的是找到可
以就这些决策提供咨询的可信赖的供应商。
寻找一个在半导体制造方面拥有必要经验的合作伙
伴,可以就哪些部件能够满足特定应用的需求获得宝贵的
建议。这种指导会有助于减少安装和运行费用,从而提高
盈利能力。对于有隔热保护的软管,经验丰富的合作伙伴
能够帮助确定需要多少隔热材料才能保证冷水机和其他设
备正常运行。市场竞争越激烈,尽可能削减不必要的开支
就越重要。
与能够提供这些工具和专业知识的供应商合作,可以
获得巨大的收益,包括 :
通过防止发生冷凝减少故障停机时间
通过最大限度减小热量变化和实现高效介质传输
提高半导体芯片良率
通过改善冷水机性能来提高效率并降低能源成本
在半导体晶圆制造环境中,保持热环路的最佳功能对
芯片良率的稳定和最大化必不可少。与能够深入研究特定
应用并为半导体晶圆生产运营提供创新解决方案的供应商
合作。寻找一个具有广泛影响力的合作伙伴,他们不仅能
就软管的正确选择提供建议,还能就其他部件给出意见。
凭借通力合作,半导体晶圆厂可以随着技术的不断进步,
继续推动世界向前发展并顺利运行。
怎样选择有隔热保护的软管
TECHNOLOGY 光子器件
技术
24 2024年 12月/2025年 1月 半导体芯科技 www.siscmag.com
光子学市场正在迅速发展,预计未来十年将有大量采用该技术的行业出现大幅增长。由于光子器件会具
有数百甚至数千个单独组件和连接,制造商必须进行并行优化,因此,主动对准成为满足生产需求的最
佳选择。
作者:Scott Jordan, Stefan Vorndran, Warren Harvard; PHYSIK INSTRUMENTE L.P. (PI)
半个多世纪以来,电子通信和计算领域的创新步伐不
断加快,产生了越来越小、处理能力越来越强的硅
微芯片。这一成就归因于集成电路(IC)晶体管
密度的指数级增长,英特尔共同创始人 Gordon Moore 在
1965 年预测了这一发展,通常被称为摩尔定律。然而,
在量子效应开始影响硅结构的功能之前,减小硅结构的物
理特征尺寸存在固有的限制。
幸运的是,光子器件已经开始帮助了电子产品,使小
型光学器件能够集成到各种应用中,从可穿戴设备中的传
感器到自动驾驶汽车中的 LiDAR 和 ADAS 摄像头。光子
器件有可能超越传统电子产品,将数据吞吐量和效率与小
型化相结合,在远程通讯和数据通信领域引发真正的革命。
为了保持这种增长,必须解决光子器件制造中仍然存在的
挑战和瓶颈。实施额外的自动化解决方案,尤其是那些确
保快速和精确组件对齐的解决方案,对于满足未来发展的
需求至关重要。
高效光子器件测试和组装的
主动对准引擎的新进展
劳动密集型器件组装的局限性
光子器件的组装工艺通常包括对于光源、光纤、透镜、
列阵、波导和芯片的组合进行仔细的
对准、粘合和固化。这些单独的组件
中的每一个都必须准确定位,以确保
最终产品的预期功能和性能,因为即
使是不到百万分之一米的轻微错位,
也会严重影响器件的效率。
尽管组装技术取得了进步,但许
多制造商仍然依赖手动对准技术,使
用垫片进行误差补偿或使用弹性定位
环固定硬件。除了耗时之外,这些方
法通常还涉及既昂贵又难以找寻的专
业化劳动力。
图1:光子元件的光功率分布和模拟爬坡算法(梯度搜索)。(图片由PI提供)
图2:现代光子器件的测试和封装可能是一个跨越多个自由度的巨大挑战。在开发现代并行算法之前,多通
道器件(如光纤列阵)的对齐曾经是一个缓慢、重复的过程。(图片由PI提供)
光子器件 TECHNOLOGY
技术
www.siscmag.com 半导体芯科技 2024年 12月/2025年 1月 25
复杂器件的手动组装可能需要长达 20 分钟,在组件
的定位阶段的生产过程中就造成重大瓶颈。此外,垫片和
夹具等传统装配工具可能难以满足制造现代器件所需的日
益严格的公差。因此需要另一种对齐策略来精确指引组件
的定位。
光学反馈引导自动对准引擎
光子器件的一个关键特性是其效率与各个组件的对
准直接相关。这意味着输出强度会随着组件位置而实时地
动态变化。不同的信号强度可作为迭代位置调整过程的指
示,从而实现精确对齐的组件。为了评估组件漂移,可以
在胶粘和固化过程中监测光子输出强度的波动。但是,在
具有大量输入和输出的复杂器件中,手动执行此方法就变
得不切实际了。优化一个连接可能会导致影响其他连接的
运动,因此需要不断重新调整以达成全局的最优。自动化
解决方案对于应对这一挑战至关重要,它实现了实用的生
产工艺,无需耗时的来回调整过程。
自动化调整工艺包括闭环器件输出和定位硬件之间
的反馈回路,允许智能软件解决方案和控制模块进行微调。
这些系统利用区域扫描算法来表征组件,确定峰值光子输
出的大致位置。然后进行多次梯度搜索以精确确定全局最
优值。专用的压电纳米定位装置能够同时调整多个连接,
在称为主动对准的创新工艺中引导元件完美地对准。集成
图3:光学反馈是自动对准的关键。本图中显示了一种快速、传统的方法,即
找到第一束光,然后进行梯度搜索以获得最佳耦合效率——使用六足位移台/
压电方法的双螺旋扫描。六足位移台运行粗螺旋扫描(粗是指个位数的微米精
度),而压电平台则通过高速亚微米扫描填充间隙。精细扫描和粗略扫描可以
同时进行。(图片由PI提供)
图4:F-712双面18轴快速多通道光子学对准引擎,可在晶圆探针台中对 SiP 器件进行快速N×M对准。六足位移台提供 6 个自由度,而紧凑的 3 轴压电扫描仪可
实现纳米分辨率和100 Hz的扫描频率,以实现最快的对准速度。Cascade Microtech开创性的CM300xi支持光子学的工程晶圆探针台集成了PI的快速多通道光子对
准引擎,用于晶圆上硅光子器件的高吞吐量、晶圆安全、纳米精度光学探测。(图片由FormFactor提供)
TECHNOLOGY 光子器件
技术
26 2024年 12月/2025年 1月 半导体芯科技 www.siscmag.com
功能(包括补偿系数)则消除了不断迭代重新调整的需要。
我们现已提供完整的模块化解决方案,可显著缩短
光子器件的制造时间,同时保持亚微米级的精度。例如,
Physik Instrumente 的快速多通道光子对准(FMPA,fast
multichannel photonic alignment)技术,可以在多个自由
度上并行执行多个对准,例如输入和输出,从而将装配时
间缩短 100 倍甚至更多。
解决首次寻光的问题
由于对准是光子器件制造的首要成本驱动因素,因此
自 2016 年屡获殊荣的快速多通道光子对准(FMPA)技
术问世以来,解决这一问题一直是 PI
的重点。FMPA 通过跨多个通道、组
件和自由度并行执行优化,并实现通
常为 0.02dB 的耦合可重复性,减少了
光子器件制造和测试的时间和成本,
并提高了良率。但是,在优化工艺开
始之前,需要检测到高于噪声水平的
光信号——这个过程称为首次寻光,
在具有输入和输出的器件中,它尤其
耗时,因为两侧必须都对齐才能实现
阈值耦合量。在所有工业光子器件对
准应用中,包括晶圆探测和器件封装,
首次寻光都是一个耗时的过程。
现在,一项突破性的发现已经
到来,它以一种新颖的内置搜索和对齐算法(已申请专
利)的形式出现,有望彻底改变这一领域。该算法被称为
PILightning ,嵌入运行在 PI 的高级控制器上。它使压电
扫描仪或直接驱动空气轴承平台等高动态机械装置能够实
现与以前的首次寻光算法相比的显著生产经济收益。这种
新工艺是完全自动化的,几乎是实时的,无需大量校准或
手动干预。PILightning 基于一种新的搜索方法,集成了基
于 AI 的实时执行功能。它还通过高频数据采样取代了小
间距扫描,显著提高了对准速度。它大大减少了在单面和
双面耦合以及环回(omega)波导配置中首次寻光所需的
时间。
图5:PILightning算法实现了基于空气轴承的双面对准系统。新算法将单面应用中的首次寻光过程
缩短了10倍或更多,将双面应用中的首次寻光过程减少了100 倍。(图片由 PI 提供)。
图 6:带有两个六足位移台6轴高速自动对准站的龙门拾取和放置系统,用于快速硅光组件的测试。(图片由PI提供)
光子器件 TECHNOLOGY
技术
www.siscmag.com 半导体芯科技 2024年 12月/2025年 1月 27
一旦检测到第一束光,FMPA 快速梯度搜索算法就会
接管,利用实时反馈控制快速优化跨自由度和通道的并行
对齐。根据应用的不同,还可以激活跟踪算法以保持最大
的耦合效率——例如,在固化情况下这很重要。
通过基于多种驱动技术的模块化解决方案确保未来的成功
光子器件市场正在迅速发展,预计未来十年将有大量
采用该技术的行业出现大幅增长。由于器件具有数百甚至
数千个单独的组件和连接,制造商面临着并行优化的必要
性,这使得主动对准成为满足生产需求的最佳选择。
此外,随着光子器件在各个领域受到关注,越来越专
业化的器件的开发需要定制的生产工艺。旨在保持竞争力
并适应不断变化的需求,制造商需要灵活的硬件和软件组
合,并且可以轻松地重新配置。
除了基于“单片式”六足位移台的 6 轴对准引擎外,
以 PI 开发的模块化对准解决方案为例,在提供生产运营
所需的灵活性和可扩展性方面表现出色。这些解决方案包
括基于空气轴承的无摩擦运动系统,这些系统需要的维护
为零,并提供卓越的速度和使用寿命,以及带有精密机械
轴承的线性和力矩电机驱动解决方案,以及基于传统螺杆
驱动和步进电机的廉价系统。
所有这些模块化系统的共同点是基于 EtherCat® 的高
性能运动控制器,具有嵌入式高级对准程序和集成的高速
光功率计,可快速取得成功。
图7:一种紧凑的基于多轴空气轴承的光子元件对准系统。空气轴承的优点是无
摩擦、高速运动,使用寿命几乎无限,并且不会产生颗粒。(图片由PI提供)
图8:PI的自动化控制器使用ACS控制器和驱动程序模块以及嵌入式高性能对
准算法,以实现最高的数据吞吐量和最短的对准时间。EtherCat®
连接允许无缝
集成第三方是设备。(图片由PI提供)
2024 年 12 月 2 日,美国政府宣布了新一轮对华出口
限制措施,将 140 余家中国企业加入贸易限制清单,涵盖
半导体生产设备制造商、晶圆厂和投资机构,名单中包括
北方华创、拓荆科技、闻泰科技、华大九天、中科飞测等
一系列知名公司。此外,文件中还增加了针对 24 项半导
体制造设备的限制,涵盖部分刻蚀、沉积、光刻、离子注入、
退火、量测、检查以及清洁工具。同时增加了对电子计算
机辅助软件、技术计算机辅助设计软件等技术的限制。不
仅如此,还增加了对华出口先进高带宽内存 (HBM) 的新
规则,涵盖美国公司和“长臂管辖”措施影响的外国生产商。
美方的行为再一次破坏了全球半导体产业长期以来
达成的公平、合理、无歧视的共识和 WTO 公平贸易的宗
美国对华出口限制措施再升级
旨,违背了全球半导体企业共同遵循的世界半导体理事会
(WSC)章程精神,伤害了全球半导体从业者团结协作的
努力,给全球半导体产业链的安全稳定造成实质性损害。
中国半导体行业协会特别发表声明,对此表示严重关
切和坚决反对。声明称 :中国半导体产业的发展根植于全
球化,成长和壮大于全球化。我们将始终坚持开放合作,
积极同各国半导体上下游企业深化合作,促进全球产业的
繁荣发展。中国半导体行业协会将维护 WSC 已形成的公
平原则和产业共识,坚决捍卫中国半导体企业及全球供应
链合作伙伴利益。呼吁相关国家和地区的企业要努力成为
可靠半导体产品供应商,也呼吁中国政府支持可靠半导体
产品供应商的稳定发展。
TECHNOLOGY 先进功率器件
技术
28 2024年 12月/2025年 1月 半导体芯科技 www.siscmag.com
氮化镓(GaN)和碳化硅(SiC)等被认为只是一种前
景看好的材料的时代,已经一去不复返了。现在,
它们正在发挥自己的潜力,其显著的商业成功就是
明证。由于在电动汽车中的广泛应用,SiC MOSFET 的销
量正在飙升,而 GaN 现已成为制造便携式产品快充的材
料。
但是,电力电子技术的革命还远远没有结束。在几千
伏或更高电压下工作时,领先的器件仍然是硅 IGBT 和晶
闸管。然而,一些基于化合物半导体材料的新型替代品有
望取代它们——它们也可能在较低电压下发挥作用。
在加利福尼亚州旧金山举行的 IEEE 国际电子器件会
议 (IEDM) 上,展示了这类器件的几个杰出案例。会上探
讨了许多进展,其中包括 :首次展示了沟槽型 6.5 kV SiC
IGBT ;GaN HEMT 能够阻断高电压,同时提供超低动态
导通电阻 ;首款由 Ga2O3 制成的垂直超结器件,其击穿电
压达 2 kV ;以及基于 AlN 的垂直型 p-n 二极管,采用无
掺杂剂分布式极化掺杂,其临界电场几乎是所报道的 SiC
和 GaN 值的两倍。
突破功率极限
新颖的设计丰富了一系列半导体材料的功率器件的性能
作者 :Richard Stevenson,《COMPOUND SEMICONDUCTOR》杂志
先进功率器件 TECHNOLOGY
技术
www.siscmag.com 半导体芯科技 2024年 12月/2025年 1月 29
沟槽型SiC IGBT
在国家层面,为减少碳排放所做的努力需要包括引入
智能电网和高压直流输电系统。这两项创新的基础包括静
态同步补偿器和固态变压器,它们是由能够阻断高电压和
提供大电流的功率器件组成的元件。
由 SiC 制成的器件有望成为这些补偿器和变压器的候
选器件。SiC 不仅能确保器件的高性能,还能在高频率下
工作,这为缩小补偿器和变压器的尺寸和提高其效率打开
了大门。不过,如果采用 6.5 kV SiC MOSFET,导通电阻
是一个令人担忧的问题,尤其是在高温条件下(175℃时
为 104 mΩ cm2
)。
由于这一限制,许多研究小组已经开发出了 SiC
IGBT。其中包括利用厚漂移层实现 15 kV 阻断的产品,
以及日立公司首创的变体,其特点是采用更薄的漂移层来
降低开关损耗。2016 年,日立公司(Hitachi)的研究人
员推出了一款具有低开关损耗的 6.5 kV SiC IGBT,该器
件采用了极薄的漂移层,在最近的 IEDM 上,该团队又推
出了一种具有沟槽双扩散 MOS 结构的后代产品(见图 1),
旨在解决由于沟道迁移率低而导致的发射极电子注入低的
问题。
Naoki Watanabe 在他的 IEDM 演讲中描述了这种器
件,并强调了传统 SiC 沟槽栅极结构的一个弱点 :“在沟
槽底部,由于 SiC 的临界电场很高,而 SiC 临界电场靠近
栅极氧化物,在关断状态下栅极氧化物中会产生高电场。
因此,要实现沟槽栅 SiC IGBT,电场弛豫是必要条件。
为解决这一问题,该团队开发了一种新型的 SiC
IGBT,其采用沟槽蚀刻双扩散 MOS 结构。据称,这种采
用 V 型结构的设计的优点之一是通过缩小沟槽间距提高
了沟道密度。另一个优点是,在关断状态下工作时,通过
将沟槽埋入 p 体,栅极氧化物中的电场大大降低。
为了评估沟槽蚀刻双扩散 MOS 结构的能力,Watanabe 及其同事制作了这种形式的测试结构,然后将其电气
特性与平面变体进行了比较。在绘制栅极电压与漏极电流
的函数关系图时发现,沟槽蚀刻双扩散 MOS 结构的电流
增加了一倍,这要归功于鳍状结构。Watanabe 认为:“因此,
沟槽蚀刻双扩散 MOS 结构可以增强发射极的电子注入。”
该团队通过模拟深入了解了该器件在关断状态下的
电场,该电场的峰值为 2 MV cm-1。Watanabe 说 :“这个
值很低,足以确保高可靠性。”他还补充说,沟槽蚀刻双
扩散 MOS 结构抑制了栅极氧化物中的电场。
该团队的新型 IGBT 的制造首先采用 n+ 衬底,然后
沉积包括 70 μm 厚漂移层的外延结构。最后一道工序是背
面研磨,这样就制成了漂移层很薄的 IGBT。
电气特性测量结果表明,采用沟槽蚀刻双扩散 MOS
结构的 IGBT 的导通电压(定义为集电极电流为 200 Acm-2
时的电压)为 4.8 V,而平面型的导通电压为 6.7 V。接通时,
新型 IGBT 的微分导通电阻为 6.6 mΩcm2
,约为平面器件
的三分之一。采用沟槽蚀刻双扩散 MOS 结构的 IGBT 在
6.5 kV 电压下的集电极漏电流为 0.41 mA cm-2(见图 2),
证明了它的阻断能力。
采用沟槽蚀刻双扩散 MOS 结构的 IGBT 开关特性也
优于平面控制。关断和导通损耗分别降低了约 19% 和 6%,
这要归功于较小的反馈电容和来自发射极的大量电子注入。
有源钝化 GaN HEMT
在 IEDM 上展出的另一款器件是 E-mode 有源钝化
图1. n沟道SiC IGBT,采用沟槽蚀刻双扩散MOS结构。 p-GaN 栅极 HEMT,它能够提供 6.5 kV 的阻断电压,目
图2. 采用沟槽蚀刻双扩散 MOS 结构的SiC IGBT可实现超过 6.5 kV 的阻断电压。
TECHNOLOGY 先进功率器件
技术
30 2024年 12月/2025年 1月 半导体芯科技 www.siscmag.com
标市场是未来的电网基础设施。
这种在蓝宝石衬底上生长的横向 GaN HEMT 由北京
大学、清华大学和名古屋大学的研究人员合作开发,其优
点包括不需要厚外延结构,并有机会将同一外延片用于低
压和高压器件。
北京大学的 Jiawei Cui 代表团队发言时指出,开发千
伏级 GaN 功率开关时,面临的两大挑战是确保增强型模
式工作(即器件通常处于关断状态)和动态导通电阻的稳
定性。
Jiawei Cui 表示 :“p-GaN 栅极 HEMT 是 E-mode GaN
功率晶体管的主流技术。为了充分利用过去几年积累的经
验,我们认为将 p-GaN 栅极结构用于高压晶体管也是一
个不错的选择。”
为了抑制动态导通电阻,崔和同事们放弃了增加多个
场板的传统方法,因为这种方法对
于工作电压超过几千伏的器件来说
是不切实际的。
“ 作 为 一 种 替 代 方 法, 我
们提出了有源钝化 p-GaN 栅 极
HEMT,”Jiawei Cui 解释说,在这
种晶体管中,减薄的 p-GaN 层起到
了钝化作用(见图 3)。这种形式的
HEMT 还具有其他特点,如屏蔽移
动空穴的表面捕获,以及只需一个
额外步骤就能实现有源钝化。
在制造器件时,首先要使用传统的外延片,该外延
片具有高电阻率缓冲层、200 nm 厚的未掺杂 GaN 沟道、
15 nm 厚的 Al0.2Ga0.8N 势垒和 20nm 厚的 p-GaN 盖帽,并
通过两步工艺对该层进行选择性蚀刻。然后沉积二氧化
硅使器件钝化,再加入欧姆触点、隔离步骤以及形成栅
极触点和探针 pad。
电学测量显示,有源钝化 HEMT 的关态阻断能力优
于作为对照器件的传统 HEMT。对于这两种器件,栅极长
度的增加会导致更高的阻断电压,栅极长度为 77μm 的有
源钝化 HEMT 能够阻断 6.573 kV 的电压(见图 4)。研究
小组认为,有源钝化 HEMT 的阻断电压之所以更高,是
因为耗尽区的位置发生了变化,不再部分位于栅极下方。
根据对传输电流 - 电压特性的测量,这两种形式的
HEMT 都呈现出增强型模式工作,阈值电压为 0.8 V。
图 3. 有源钝化 HEMT 的结构(a)及其制造工艺概要(b)。
图4.传统GaN HEMT的关断特性(a)不如采用有源钝化设计的变体(b)。
先进功率器件 TECHNOLOGY
技术
www.siscmag.com 半导体芯科技 2024年 12月/2025年 1月 31
对输出电流 - 电压特性的测量结果表明,有源钝化
HEMT 的导通电阻低于对照组,分别为 38.2 mΩmm 和
43.6 mΩmm。
为了评估其器件的动态导通电阻,崔和同事比较了
一系列漏极 - 源极电压下的动态值和静态值。据报道,在
4.5 kV 电压下对栅极长度为 77μm 的增强型 p-GaN 栅极
HEMT 进行测量时,该比率为 1.02。
据称,优良的导通电阻特性源于钝化层的屏蔽效应。
为了验证这一结论,研究团队制作了一对器件——带有源
钝化和不带有源钝化——其中包括一个表面测试电极。
在该电极上施加负电压可模拟负表面捕获效应。崔
说 :我们发现,传统 HEMT 的漏极电流减小了,但有源
钝化 HEMT 的漏极电流保持不变。这一结果表明,有源
钝化可以屏蔽表面效应对 2DEG 沟道的影响。
研究人员还进行了第二项测试,在电极上施加正电
压以在表面捕获电子。去掉电压后,传统 HEMT 的漏极
电流减小,需要很长时间才能恢复。相比之下,有源钝化
HEMT 的漏极电流没有变化,进一步证明了表面屏蔽的作
用。
崔最后对有源钝化 HEMT 进行了基准测试。他声称,
该器件的性能接近 SiC 极限,是第一个阻断电压达到或超
过 6.5 kV 的增强型 p-GaN 栅极 HEMT。
Ga2O3 超结肖特基整流器
美国和日本的研究人员合作开发出了另一个新的突
破 :首个由超宽禁带材料 Ga2O3 制成的垂直超结器件。
弗吉尼亚理工学院和州立大学、南加州大学、美国海
军研究实验室、 Novel Crystal Technology 公司和橡树岭国
家实验室的研究人员合作一系列器件,这些器件的支柱宽
1-2μm,包裹在电荷平衡的 p 型 NiO 中。这些器件可阻断
高达 2 kV 的电压。
弗吉尼亚理工学院和州立大学的 Yuan Qin 代表合作
伙伴发言。他首先强调了 Ga2O3 的优势。这些优势包括临
界电场高(预计可达 8 MV cm-1)、温度稳定性高以及可在
大直径衬底上外延生长。遗憾的是,这种氧化物有两大缺
点 :缺乏 p 型掺杂和热导率低。
Qin 简要介绍了各种形式半导体器件的发展历程,他
解释说,从一维结构到多维变体(如采用超结、多通道和
多栅极的器件)的转变,在系统层面实现了更高的功率密
度和效率。
对于超结器件,例如 Qin 及其同事制备的器件,导通
电阻随阻断电压呈线性增长,而对于一维器件,导通电阻
则随阻断电压的平方而变化。
Qin 说 :“对于超宽禁带半导体氧化镓而言,制备超
结器件仍面临一些挑战,”他解释说,其中之一是开发一
图5. 垂直 Ga2O3 超结肖特基势垒二极管的特性由沟道深度(LSJ)、沟道宽度
(Wn)、沟道间距(S)和氧化镍厚度(Wp)等关键尺寸决定。
图 6. 制备 Ga2O3 超结肖特基势垒二极管的十个步骤。
TECHNOLOGY 先进功率器件
技术
32 2024年 12月/2025年 1月 半导体芯科技 www.siscmag.com
种能产生垂直侧壁和光滑表面的深柱蚀刻工艺。
由于缺乏 p 型 Ga2O3,器件开发还需要选择一种不会
导致过多界面陷阱的替代 p 型材料。NiO 显然是一个候选
材料——它以前曾用于制备 GaN 的垂直超结和 Ga2O3 的
横向超结。
超结器件的制备涉及对 NCT 生产的 2 英寸 Ga2O3 外
延片的处理,该外延片有 10μm 厚的 n-Ga2O3 层(掺杂水
平为 2×1017 cm-3)和 0.5μm 厚的 n-Ga2O3 层(掺杂水平
为 1×1016 cm-3)。干法蚀刻产生了 6.5μm 深的柱子,在氩
气和氧气环境中通过共形溅射将其包裹在 NiO 中。由于
NiO 中的受主浓度远高于 Ga2O3 柱中的施主浓度,因此厚
度为 120nm 的 NiO 可与 1-2μm 宽的柱子实现电荷平衡。
研究小组通过在沟槽中填充光刻胶并添加 Ni/Au/Ti/Ag 肖
特基触点,完成了器件的制备(器件结构见图 5,工艺流
程详情见图 6)。
在这种设计中,0.5μm 厚的顶部 n-Ga2O3 层有两个作
用 :降低肖特基触点的隧穿漏电流 ;将峰值电场的位置从
肖特基触点转移到体超结。
Qin 及其同事评估了具有各种柱宽的超结器件的阻断
能力。他们发现,击穿电压最初随支柱宽度的增加而增加,
在支柱宽度为 1.8μm 时达到 2kV,而在更宽的支柱宽度时
则有所下降。峰值阻断能力源于最佳电荷平衡。
当器件温度升高到 175 ℃ 时,研究小组观察到阻断
图7.分布式极化掺杂用于形成AlN基二极管。
电压小幅下降到 1.8 kV。这表明电荷平衡在
高温下得以保持。
对于阻断电压最好的器件,室温下的导
通电压为 1 V,通断比约为 109
,比导通电
阻仅为 0.7 mΩcm2
。 在 175℃时,导通电压
降至 0.8 V,通断比约为 108
,比导通电阻为
1.3 mΩcm2
。
在对该器件进行基准测试后,Qin 指
出,在 600 V 至 4 kV 的所有肖特基势垒二
极管和结势垒肖特基二极管中,该器件在比
导通电阻和击穿电压之间实现了最佳折衷。
该团队的器件可提供快速开关,其性能接近
GaN 的一维极限。
AlN 垂直型 p-n 二极管
在硅的所有替代品中,AlN 可以说是最
有前途的。由于具有 6 eV 的带隙,它的临
界电场远高于所有竞争对手,这表明它有可能在阻断电压
和比导通电阻之间做出更好的权衡。
多年来,AlN 器件的缺点之一是一直缺乏同质衬底。
不过,近年来 AlN 器件已取得了很大进展,2022 年的报
告显示,这种材料中的螺纹位错密度可低于 104
cm-2,而
旭化成公司也于 2023 年宣布推出直径为 4 英寸的衬底。
AlN 的另一个问题是难以实现导电层。由于常见掺杂
剂的电离能较高,硅施主的电离能为 282 meV,镁受主的
电离能为 630 meV,因此通过传统方法实现室温导电是一
项挑战。
分布式极化掺杂提供了一种解决方案,这种方法是加
州大学圣巴巴拉分校 20 多年前首创的。在氮化物合金中,
p 型和 n 型电导率都可以通过使用梯度合金方向的正或负
固定空间电荷来实现。
在这种方法的基础上,名古屋大学和旭化成公司团队
利用分布式极化掺杂法在 AlN 基激光二极管中产生了一
个 p 型层。据说,这种生产 p 型层的方法可以提高注入效
率和微调串联电阻,并最终实现在紫外线下连续发射激光。
在 IEDM 会议上,该团队宣布在分布式极化掺杂方
面取得了进一步的成功。利用它在 AlN 基的垂直 p-n 二极
管中生产出 p 型和 n 型层。
来自名古屋大学的 Takeru Kumabe 向 IEDM 代表解释
说,在他们团队最近取得成功之前,铝成分超过 30% 的
先进功率器件 TECHNOLOGY
技术
www.siscmag.com 半导体芯科技 2024年 12月/2025年 1月 33
AlGaN 二极管还没有表现出理想的电气特性。
Kumabe 及其同事制备器件的方法是,在 AlN 村底上
生长出 300 nm 厚的 Al0.7Ga0.3N 接触层,然后是 400 nm 厚
的分布式极化掺杂 AlGaN n 型层、120 nm 厚的分布式极
化掺杂 p 型层,最后是 20 nm 厚的镁掺杂 GaN 接触层。
在分布式极化掺杂层中,AlGaN 层的铝含量从 70% 到
95% 不等。
二极管的制备始于盖层中镁掺杂剂的活化。在添加
阴极、阳极和接触 pad 以及防止空气放电的聚酰亚胺表面
保护层之前,蚀刻工艺确定了垂直网格,等离子体增强
CVD 提供了 SiO2 保护层。
二次离子质谱法(SIMS)测定的负电荷和正电荷浓
度平均值分别为 1.8×1018 cm-3 和 2.6×1017 cm-3。Kumabe
解释说 :在这两个分布式极化掺杂层中,电荷浓度沿深度
方向恒定不变,这要归功于线性成分分级。
在室温下对二极管进行的电气测量显示,其导通电压
为 6.5 V,比导通电阻为 3 mΩcm2
。据 Kumabe 称,这是
迄今所报道的 AlN 基的 p-n 二极管的最小比导通电阻值。
研究小组还利用电致发光(EL)来仔细研究其器件
的特性。Kumabe 说 :EL 发射随着电流的增加而增加,这
表明电子和空穴都有助于典型 p-n 二极管的传导。
为了验证他们的器件是否是真正的 p-n 二极管,
Kumabe 和同事在 323K 至 573K 的温度范围内测量了电
图8. AlN二极管随温度变化的理想因子接近 2,这表明电子和空穴都在器件工
作中发挥作用。
图 9. 采用分布式极化掺杂的AlN基二极管的性能远远超过了 SiC 和 GaN的极
限。
流密度与正向偏压的函数关系。发现阈值电压随着温度的
升高而降低。使用复合电流模型来描述电学特性,进一步
证明了该团队的器件表现为 p-n 二极管,而不是具有金属 -
绝缘体 - 半导体结构的器件(见图 8)。
反向偏压测量显示,器件在 -283 V 时发生了破坏性
击穿,这表明雪崩击穿并未发生。因此,Kumabe 及其同
事计算出击穿时的电场为 7.3 MV cm-1。
“将提取的电场与碳化硅和氮化镓的电场极限,以及
之前报道的分布式极化掺杂 GaN p-n 二极管的电场极限进
行了比较。”Kumabe 说。7.3 MV cm-1 这一数值几乎是相
同掺杂浓度下氮化镓和碳化硅极限值的两倍。
Kumabe 还指出,他们的器件打破了在 GaN 衬底上
使用分布式极化掺杂 GaN 二极管所能实现的极限。
Kumabe 总结说 :“这一结果证明了氮化铝和高铝含
量氮化铝镓在功率器件应用中的巨大潜力。”
这些工作表明,AlN 器件正在取得巨大进步。除了在
IEDM 和其他会议上报告的 SiC、GaN 和 Ga2O3 器件的进
展之外,毫无疑问,电力电子器件的革命正在顺利进行,
但更多的进展还在后面。
COLUMN Chiplet
专栏
34 2024年 12月/2025年 1月 半导体芯科技 www.siscmag.com
下转第36页
作者:Guillaume Boillet, Arteris 公司
芯粒提供了一种模块化方法。通过组合更小的分立组件或
“芯粒”,制造商可以创建体积更大、功能更强大的处理器。
这种模块化设计能够轻松扩展性能和功能,以满足各种应
用的特定需求。这正是推动企业计算领域的先驱公司早期
采用该技术的原因。如今,它还吸引了通信和汽车行业的
参与者,这些行业也渴望更高的计算能力,尤其是在人工
智能应用上。
成本效益:降低费用,提高竞争力
成本效益是推动采用芯粒技术的另一个关键因素。
传统的芯片制造,尤其是在尖端技术领域,成本极其高
昂,而且随着晶体管的缩小,成本不断攀升。芯粒方法
通过以下几种方式降低了这些成本。首先,它允许对某
些组件使用更旧、更具成本效益的制造工艺。其次,通
过用多个更小的芯粒来构建处理器,制造商可以显著降
低与大型单片芯片缺陷相关的良率损失。即使一个芯粒
的一部分出现缺陷,也不会像传统设计那样导致整个芯
片无法使用。这直接转化为成本节约,使高性能计算更
芯粒时代即将到来:这对您有何益处?
最近,关于芯粒(chiplet)的讨论很多,也很热闹,
但也有很多困惑。目前有哪些产品可用?互操作性
如何?新兴生态系统的前景是否真实?更重要的
是,高端系统级芯片(SoC)的开发人员需要考虑一个核
心问题 :“这对我有何益处?”-- 答案取决于这些芯粒的
应用类型和目标市场。
在过去的几年里,我一直在密切关注多芯片(multi-die)
市场,并与从芯片设计人员到芯片制造商,再到我们系统 IP
产品的最终用户的大量参与者进行了交流。尽管评论家和利
益相关者准确地描述了芯粒技术的关键优势,但我观察到这
些描述很少是全面的,而且往往缺乏条理。因此,我觉得有
必要确定共同的主题,认真思考它们对未来部署的重要性,
并将它们映射到关键的行业垂直领域中。本文用图表的形式
总结了这些见解(参见图 1),希望对您有所帮助。
可扩展性:满足多样化计算需求的关键
可扩展性处于芯粒革命的最前沿。传统的单片式芯片
设计在接近摩尔定律的边界时面临物理和经济限制。但是,
图 1: 芯粒驱动因素和每个垂直领域的机会大小。(图片由 Arteris 提供)
BMS COLUMN
专栏
www.siscmag.com 半导体芯科技 2024年 12月/2025年 1月 35
境。该虚拟环境使工程师能够在开发硬件原型之前实时验
证 BMS 控制器的功能。
仿真使工程师能够大幅缩短从设计到代码生成的时
间,从而能够以更快的速度和更高的效率对各种技术进行
快速建模。Altigreen Propulsion Labs 的工程师使用基于仿真
的方法来建模并迭代测试不同的 SOC 估计技术,例如卡尔
曼滤波和库仑计数,并设计了一个全面的 SOC 估计技术。
Altigreen 首席工程师兼控制系统主管 Prathamesh Patki 表示,
“Embedded Coder® 将开发时间缩短了一半。我们的任何设
想,都可以在最短时间内在真实硬件上付诸实现。”
BMS 开发中的建模和仿真用例
电池特性是将电池模型与实验数据拟合的过程。准
确的电池特性至关重要,因为 BMS 算法使用电池模型来
设置控制参数,例如用于 SOC 估计的卡尔曼滤波器或基
于 SOC 的功率限制以及温度以避免欠压或过压情况。在
BMS 开发后期,工程师可以使用相同的电池模型进行系
统级闭环桌面和实时系统仿真。Simscape ™ Battery ™ 等
工具提供了多种电池建模方法,包括等效电路、电化学和
使用神经网络的降阶建模。
充电速度是电动汽车设计和采用的一个关键性能指
使用建模和仿真设计电动汽车的
安全电池管理系统
安全性是电动汽车 (EV) 的首要关注点。锂离子电池是
电动汽车的典型选择,其能量密度高,如果操作条
件偏离电池的设计条件,则会带来故障风险。电池
管理系统 (BMS) 对于防止负面后果至关重要,包括热失
控(一种导致电池损坏的不可控放热反应)。BMS 的主要
功能包括监测电流、电压和温度、防止过度充电和过度放
电、平衡电池间的电荷、估计电池的荷电状态 (SOC) 和
健康状态 (SOH),以及控制电池组的温度。这些功能至关
重要,因为它们影响电动汽车的性能、安全性、电池寿命
和用户体验。例如,通过防止过度充电和超过电压限制的
放电,BMS 可以防止电池过早老化,确保车辆在其使用
寿命内保持高性能。
仿真在 BMS 开发中的优势
工程师使用行为模型在台式计算机上仿真电池厂模
型、环境和 BMS 算法。他们使用桌面仿真来探索新的设
计理念,并在制作硬件原型之前测试多种系统架构。桌面
仿真使工程师能够验证 BMS 设计的功能方面。例如,工
程师可以探索不同的平衡配置来评估它们之间的适用性和
权衡。仿真对于需求测试也起到了重要作用 ;例如,工程
师可以在出现隔离故障的情况下验证接触器的行为是否正
确。评估故障期间系统的行为是使用仿真取代硬件测试的
另一个明显例子。
一旦使用桌面仿真验证了设计,工程师就可以自动生
成 C 或 HDL 代码以进行快速原型 (RP) 或硬件在环 (HIL)
测试,以进一步验证实时运行的 BMS 算法。通过 RP,可
以从 BMS 算法模型生成代码并将其部署到执行生产微控
制器功能的实时计算机中。利用自动代码生成,只需数小
时(而不是数天)即可在实时硬件上测试模型中的算法更
改。在 HIL 测试的情况下,代码是从电池厂模型而不是
BMS 算法模型生成的,从而提供代表电池组、有源和无
源电路元件、负载、充电器和其他系统组件的虚拟实时环
作者:Danielle Chu,MathWorks 高级产品营销经理
图1:锂离子电池是电动汽车的典型选择,其能量密度高,如果操作条件偏离
电池的设计条件,则会带来故障风险。
COLUMN BMS
专栏
36 2024年 12月/2025年 1月 半导体芯科技 www.siscmag.com
不到 9 个 — 远低于项目目标。LG Chem 使用 AUTOSAR
为沃尔沃开发的 BMS 已获得基于 ISO 26262 功能安全的
汽车安全完整性等级 C (ASIL C) 认证。
结束语
BMS 设计中的建模和仿真可以缩短开发周期、降低
成本并实现更安全、更高效的电动汽车。通过在所有可能
的操作和故障条件下运行 BMS 算法,工程师可以更加确
信 BMS 软件将在实际系统中处理这些情况,从而减少了
昂贵测试的需要。最终,这种方法确保最终产品超出行业
标准和消费者期望。
标。快速充电的高功率水平会给电池材料带来压力并缩短
其寿命。因此,优化快速充电期间的功率分布以确保最大
的充电速率和最小的电池压力至关重要。这是通过仿真和
优化的结合实现的。充电时间被最小化,同时压力因素被
保持在可接受的范围内。
生产代码生成补充了符合汽车行业正式认证标准的
BMS 设计工作流程。例如,当 LG Chem ( 现为 LG Energy
Solution) 为沃尔沃 XC90 插电式混合动力车开发了 BMS,
AUTOSAR 是一项要求标准。LG Chem 选择将 BMS 算法
和行为建模和仿真作为其设计工作流程的一个组成部分。
每次软件发布时发现的软件问题数量从大约 22 个减少到
图2:工程师使用行为模型在台式计算机上仿真电池厂模型、环境和 BMS 算法。
更快速、更高效地调整其产品以满足市场需求。这种灵活
性可以更快地响应新兴趋势和客户需求,从而提供竞争优
势。此外,因为能够在多个产品中重复使用芯粒,可以简
化研发工作,缩短产品上市时间,减少研发费用。针对不
同配置混合和匹配芯粒的灵活性,使定制芯片以满足特定
细分市场变得更容易,尤其适用于消费和汽车市场的需求。
总体而言,芯粒架构有望彻底改变半导体行业,该行
业的每个部分都能在其能力中发现独特的价值。这种量身
定制的方法确保芯粒将在推动行业各垂直领域的技术进步
方面发挥关键作用,而我们 Arteris 将继续提供解决方案
来满足各种需求。
Guillaume Boillet 是 Arteris 产品管理和
战略营销高级总监,负责推动互连 IP 和
SoC 集成自动化产品组合的产品生命周
期。
容易实现。这对于成本敏感型行业尤为重要例如无线通
信、消费电子和工业应用。
生态系统发展:促进协作与创新
向芯粒的转变也促进了半导体行业协作和创新生态
系统的发展。借助芯粒,不同的公司可以专注于各种类型
的计算主机和加速器,为更大的整体贡献自己的专长。这
种开放性可以带来一个更有活力的生态系统,因为规模较
小的参与者可以在特定领域进行创新,而无需承担设计整
个芯片的开销。这种协作可以加快技术进步,使汽车和消
费电子等垂直领域的新公司受益,并带来更快的技术迭代
和改进。
产品组合管理: 产品开发的战略方法
最后,向芯粒的过渡可以让公司更有效地管理其产品
组合。由于具有了混合和匹配不同芯粒的能力,公司可以
上接第34页
A I COLUMN
专栏
www.siscmag.com 半导体芯科技 2024年 12月/2025年 1月 37
提供多样性和选择,助力行业灵活部署 AI 计算
除了 CPU 产品组合外,Arm 计算平台还包括 GPU 和
NPU 等 AI 加速器技术,许多市场都在将这些技术与 CPU
进行集成。
在移动端领域,Arm 终端计算子系统 (CSS) 包含
Armv9.2 CPU 集群,并与 Arm Immortalis-G925 GPU 集成,
可为各种 AI 用例提供加速功能,包括图像分割、对象检
测、自然语言处理和语音转文本等用例。在物联网 (IoT)
方面,Arm Ethos-U85 NPU 可与需要加速 AI 性能的基于
Arm Cortex-A 的系统一起设计,例如工厂自动化等场景。
此外,除了 Arm 自己的加速器技术外,合作伙伴借
助 Arm 的 CPU 灵活定制具有差异化的芯片解决方案。例
如,NVIDIA 用于 AI 基础设施的 Grace Blackwell 和 Grace
Hopper 超级芯片均采用了 Arm CPU 和 NVIDIA 的 AI 加
速器技术,从而显著提升 AI 性能。
NVIDIA Grace Blackwell 超级芯片将 NVIDIA 的
打造“CPU+”异构计算平台,
Arm 灵活应对各类 AI 工作负载
对于人工智能 (AI) 而言,任何单一硬件或计算组件都
无法成为适合各类工作负载的万能解决方案。AI 贯
穿从云端到边缘侧的整个现代计算领域,为了满足
不同的 AI 用例和需求,一个可以灵活使用 CPU、GPU 和
NPU 等不同计算引擎的异构计算平台必不可少。
依托于 Arm CPU 的性能、能效、普及性、易于编
程性和灵活性,从小型的嵌入式设备到大型的数据中心,
Arm CPU 已经为各种平台上的 AI 加速奠定了基础。
就灵活性而言,这对生态系统大有裨益的三个主要原
因是,首先,Arm CPU 可以处理广泛的 AI 推理用例,其
中许多用例通常用于数十亿台设备,例如当今的智能手机、
云和数据中心。不仅如此,除了推理之外,CPU 还经常
用于技术栈中的数据预处理和编排等其他任务。其次,开
发者能够在更多种类的数据格式中运行更广泛的软件,而
无需构建多个版本的代码。最后,CPU 的灵活性使之成
为加速 AI 工作负载的理想工具。
图1:Arm 赋能边缘 AI 持续创新。
COLUMN A I
专栏
38 2024年 12月/2025年 1月 半导体芯科技 www.siscmag.com
其中包括领先的芯片合作伙伴,以及
在 Arm 计算平台上构建应用的 2,000
多万软件开发者等。
这一切始于 Armv7 架构,该架构
引入了高级单指令多数据 (SIMD) 扩
展,例如 Neon 技术,这是 Arm 首次
涉足机器学习 (ML) 工作负载。在过去
几年中,该架构不断增强,Armv8 中
增加了向量点积和矩阵乘法特性,之
后在 Armv9 中又引入了 Arm SVE2 和
新的 Arm SME 技术,为广泛的生成式
AI 工作负载和用例提高了计算性能并
降低了功耗。
与 AI 加速器技术无缝集成
Arm 是 AI 时代的计算平台,推动了持续的架构创新,
以满足速度更快、互动性更好和沉浸感更强的 AI 应用的
发展。Arm CPU 作为灵活处理 AI 工作负载的异构计算方
法中的一部分,可以无缝增强和集成 GPU 和 NPU 等 AI
加速器技术。
Arm CPU 是处理众多 AI 推理工作负载的实用之选,
凭借出色的灵活性,它能够与加速器技术无缝集成,打造
更强大、更高性能的 AI 功能,精准满足特定用例和计算
需求。对于 Arm 的技术合作伙伴而言,出色的灵活性有
助于实现丰富的定制选择,使他们能够为 AI 工作负载构
建完整的芯片解决方案。
Blackwell GPU 架构与基于 Arm Neoverse 的 Grace CPU 相
结合。Arm 独特的产品组合使 NVIDIA 能够进行系统级
设计优化,与 NVIDIA H100 GPU 相比,其能耗降低了 25
倍,单个 GPU 性能提高了 30 倍。具体来说,得益于 Arm
Neoverse 平台的灵活性,NVIDIA 能够实现自有的高带宽
NVLink 互连技术,并提升 CPU、GPU 和内存之间的数据
带宽和延迟。
Arm 致力于通过 Arm 全面设计生态项目,为整个生
态系统注入 AI 加速的机遇。通过该生态项目,开发者可
以更快访问 Arm CSS 技术,赋能软硬件技术进步,以此
驱动 AI 和芯片创新,并加快开发和部署 AI 优化的芯片解
决方案。
Arm 架构满足 AI 所需的独特灵活性
Arm CPU 设计所具有灵活性关键
在于 Arm 领先的架构。它提供了一个
可以与 AI 加速器技术紧密集成的基础
平台,并支持从 128 位到 2,048 位的各
种向量长度,可以在许多不同的数据
点上轻松执行多个神经网络。
Arm 架构的灵活性为整个芯片生
态系统提供了多样化的定制机会,Arm
一直致力于帮助合作伙伴更快地构建
自己的差异化芯片解决方案。这种独
特的灵活性也使 Arm 能够不断进行架
构创新,定期推出关键指令和功能来
加速 AI 计算,进而惠及整个生态系统,
图2:Arm Ethos-U85 NPU 将提供在边缘侧和端侧设备上执行领先 AI 功能所需的算力。
图3:全新物联网参考设计平台 Corstone-320 结合了领先的 Arm 嵌入式 IP、软件、工具和支持, 能加快
语音、音频和视觉系统的部署。
40 2024年 12月/2025年 1月 半导体芯科技 www.siscmag.com
Advertiser 广告商名称 网址 页码
ACM 盛美上海 www.acmrcsh.com.cn 1
东莞市晟鼎精密仪器有限公司 www.sindin.com 3
SEMICON China 2025 www.semiconchina.org IBC
2025 慕尼黑上海光博会 www.world-of-photonics-china.com.cn 39
行政及销售人员 Administration & Sales Offices
行政人员 Administration
HK Office (香港办公室)
ACT International (雅时国际商讯)
Unit B, 13/F, Por Yen Buiding, No. 478 Castle Peak
Road, Cheung Sha Wan, Kowloon, Hong Kong
Tel: 852 28386298
Publisher (社长) - China
Adonis Mak ( 麦协林), adonism@actintl.com.hk
Deputy Publisher (副社长) - China
Lisa Cheng (程丽娜), lisac@actintl.com.hk
General Manager-China ( 中国区总经理)
Floyd Chun (秦泽峰), floydc@actintl.com.hk
Editor in China ( 中国版编辑)
Sunnie Zhao (赵雪芹), sunniez@actintl.com.hk
Vivi Zhang (张雨薇), viviz@actintl.com.hk
London Office
Hannay House, 39 Clarendon Road
Watford, Herts, WD17 1JA, UK.
T: +44 (0)1923 690200
Coventry Office
Unit 6, Bow Court, Fletchworth Gate
Burnsall Road, Coventry, CV5 6SP, UK.
T: +44 (0)2476 718 970
Publisher & Editor-SiS English
Jackie Cannon, jackie.cannon@angelbc.com
+44 (0)1923 690205
销售人员 Sales Offices
China (中国)
Wuhan (武汉)
Lisa Cheng (程丽娜), lisac@actintl.com.hk
Tel: 86 185 7156 2977
Mini Xu (徐若男), minix@actintl.com.hk
Tel: 86 187 7196 7314
Phoebe Yin (尹菲菲), phoebey@actintl.com.hk
Tel: 86 155 2754 0817
Ron Wang (汪毓翀), ronw@actintl.com.hk
Tel: 86 186 9404 8156
Shenzhen (深圳)
Yoyo Deng (邓丹), yoyod@actintl.com.hk
Tel: 86 135 3806 1660
Shanghai (上海)
Hatter Yao (姚丽莹), hattery@actintl.com.hk
Tel: 86 139 1771 3422
Beijing (北京)
Cecily Bian (边团芳), cecilyB@actintl.com.hk
Tel: 86 135 5262 1310
Hong Kong (香港特别行政区)
Floyd Chun (秦泽峰), floydc@actintl.com.hk
Tel: 852 2838 6298
Asia (亚洲)
Japan (日本)
Masaki Mori, masaki.mori@ex-press.jp
Tel: 81 3 6721 9890
Korea (韩国)
Lucky Kim, semieri@semieri.co.kr
Tel: 82 2 574 2466
Taiwan, Singapore, Malaysia
(台湾,新加坡,马来西亚)
Regional Sales Director
Floyd Chun (秦泽峰), floydc@actintl.com.hk
Tel: 852 2838 6298
US (美国)
Janice Jenkins, jjenkins@brunmedia.com
Tel: 724 929 3550
Tom Brun, tbrun@brunmedia.com
Tel: 724 539 2404
Europe (欧洲)
Shehzad Munshi, Shehzad.Munshi@angelbc.com
Tel: +44 (0)1923 690215
Jackie Cannon, Jackie.cannon@angelbc.com
Tel: +44 (0) 1923 690205
广告索引 Advertisers Index
欢迎投稿
《半导体芯科技》(Silicon Semiconductor China, SiSC)是面向中国半
导体行业的专业媒体,已获得全球知名权威杂志《Silicon Semiconductor》
的独家授权。本刊针对中国半导体市场特点遴选相关优秀文章翻译,并汇集
编辑征稿、采编国内外半导体行业新闻、深度分析和权威评论等多方面内容。
本刊由香港雅时国际商讯(ACT International)以简体中文出版发行。
本刊内容覆盖半导体制造工艺技术、封装、设备、材料、测试、
MEMS、mini/Micro-LED 等。文章重点关注以下内容 :
FAB(Foundry, IDM, OSAT, R&D)
四个环节 :晶圆制造(wafer 后道)、芯片制造、先进封装、洁净室 ;
深入报道与之相关的制造工艺、材料分析,工艺材料、工艺设备、测试
设备、辅助设备、系统工程、关键零备件,以及与 particle(颗粒度)及
contamination(沾污)控制等厂务知识。
FABLESS
芯片设计方案、设计工具,以及与掩膜版内容和导入相关的资讯。
半导体基础材料及其应用
III-V 族、II-VI 族等先进半导体材料的科学研究成果、以及未来热门应用。
《半导体芯科技》欢迎读者、供应商以及相关科研单位投稿,已甄选中
文稿件将在印刷版杂志以及网上杂志刊登 ;IC 设计及应用等半导体相关内
容将酌情予以网络发表(微信推送、杂志网站)。本刊优先刊登中文来稿(翻
译稿请附上英文原稿)。
技术文章要求
1. 论点突出、论据充分 :围绕主题展开话题,如工艺提升、技术改造、系
统导入、新品应用,等等。
2. 结构严谨、短小精悍 :从发现问题到解决问题、经验总结,一目了然,
字数以 3000 字左右为宜。
3. 文章最好配有 2-4 幅与内容有关的插图或图表。插图、图表按图 1、图 2、
表 1、表 2 等依次排序,编号与文中的图表编号一致。
4. 请注明作者姓名、职务及所在公司或机构名称。作者人数以四人为限。
5. 文章版权归著作者,请勿一稿多投。稿件一经发表如需转载需经本刊同意。
6. 请随稿件注明联系方式(电话、电子邮件)。
新产品要求
1. 新产品必须是在中国市场新上市、可在中国销售的。
2. 新产品稿件的内容应包含产品的名称、型号、功能、主要性能和特点、用
途等。
3. 新产品投稿要求短小精悍,中文字数 300~400 字左右。
4. 来稿请附产品照片,照片分辨率不低于 300dpi,最好是以单色作为背景。
5. 来稿请注明能提供进一步信息的人员姓名、电话、电子邮件。
电子邮箱 : sunniez@actintl.com.hk
viviz@actintl.com.hk